REALIZACJA NA POZIOMIE RTL OBLICZANIA PIERWIASTKA KWADRATOWEGO Z UŻYCIEM METODY NIEODTWARZAJĄCEJ

Wielkość: px
Rozpocząć pokaz od strony:

Download "REALIZACJA NA POZIOMIE RTL OBLICZANIA PIERWIASTKA KWADRATOWEGO Z UŻYCIEM METODY NIEODTWARZAJĄCEJ"

Transkrypt

1 POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 87 Electrical Engineering 206 Robert SMYK* Maciej CZYŻAK* REALIZACJA NA POZIOMIE RTL OBLICZANIA PIERWIASTKA KWADRATOWEGO Z UŻYCIEM METODY NIEODTWARZAJĄCEJ Obliczanie pierwiasta wadratowego jest jedną z luczowych operacji cyfrowego przetwarzania sygnałów szczególnie przy obliczaniu modułu sygnałów zespolonych. W pracy przedstawiono algorytm obliczania pierwiasta wadratowego metodą nieodtwarzającą oraz jego uładową realizację. Metoda umożliwia oszczędną realizację uładową bazującą na sumatorach i rejestrach. Przeanalizowano wymagania sprzętowe obliczania pierwiasta wadratowego dla operandów 8-, 6- i 32-bitowych. Przedstawiono implementację w VHDL oraz wyni syntezy uładu dla wybranych wariantów w środowisu Altera Quartus II FPGA. SŁOWA KLUCZOWE: FPGA, moduł sygnałów zespolonych, metoda nieodtwarzająca, pierwiaste wadratowy. WSTĘP W wielu apliacjach cyfrowego przetwarzania sygnałów (CPS) istnieje potrzeba zastosowania efetywnego algorytmu obliczania pierwiasta wadratowego (PK). Typowym przyładem jest obliczanie modułu sygnału zespolonego na wyjściu procesora obliczającego szybą transformatę Fouriera. Z racji tego, że sygnały w rzeczywistych apliacjach CPS przetwarzane są z zachowaniem restrycyjnie nałożonych ograniczeń taich ja możliwie małe opóźnienie przy zachowaniu wysoiej częstotliwości potoowania, od uładu cyfrowego realizującego opisywany algorytm oczeuje się spełnienia ściśle oreślonych wymagań dotyczących parametrów czasowych. W literaturze znane są algorytmy iteracyjne obliczania PK stanowiące rozwinięcie metody Newtona-Raphsona (NR) []. W algorytmach tego typu w olejnych iteracjach obliczane są olejne przybliżenia PK. Głównym ograniczeniem tej lasy algorytmów jest silna zależność doładności obliczeń od liczby iteracji, tóra może być teoretycznie dowolna, oraz od przyjętego puntu * Politechnia Gdańsa.

2 280 Robert Smy, Maciej Czyża startowego. Choć spotyane są przyłady implementacji uładowych metody NR, jest to algorytm iteracyjny częściej stosowany w postaci implementacji programowych. W przypadu implementacji uładowej metody NR można założyć ustaloną liczbę iteracji i zrealizować ażdą z nich w postaci pojedynczego stopnia obliczeniowego. Tego typu podejście powoduje jedna niewspółmierny wzrost złożoności uładowej w przypadu onieczności uzysania założonej doładności obliczeń. Dodatowo poważnym ograniczeniem w implementacji uładowej tej metody jest potrzeba wyonywania mnożenia oraz dzielenia. Podsumowując, metoda NR ze względu na przedstawione właściwości nie jest w stanie spełnić wymagań, taich ja doładność oraz szybość obliczeń, stawianych przez współczesne apliacje CPS. Inną lasą algorytmów PK opiera się na algorytmie CORDIC [2-4], tóry powszechnie wyorzystywany jest w techniach CPS do realizacji funcji trygonometrycznych. Algorytm ten należy do lasy iteracyjnych. W pratyce obliczenie pierwiasta wadratowego wymaga przeprowadzenia do 0 iteracji. W ażdej iteracji wyonywane jest sumowanie oraz mnożenie przez potęgę liczby 2. Zasadniczą cechą algorytmu CORDIC jest to, że przy realizacji uładowej nie wymaga on stosowania mnożniów, przy doborze współczynniów w postaci ujemnych potęg 2 zastępowane są one przez przesunięcia binarne. Za pewną wadę można uznać onieczność dzielenia wyniu operacji przez wartość stałą ze względu na wyonywanie w ażdej iteracji tzw. pseudorotacji wetora wydłużającej jego długość. Warto wspomnieć, że producenci uładów FPGA często oferują specjalizowany IP Core realizujący algorytm CORDIC [5]. W pratyce, w przypadu apliacji CPS implementowanych w FPGA czynni ten może decydować o wyborze algorytmu PK bazującego na algorytmie CORDIC. Znane są również nieiteracyjne metody obliczania PK [6]. W realizacji uładowej bazującej na wyorzystaniu algorytmu alpha max plus beta min możliwe 2 2 jest bezpośrednie wyznaczenie modułu a b liczby zespolonej a j b. Ułady wyorzystujące tego typu podejście [7] charateryzują się, w odróżnieniu od bazujących na metodach iteracyjnych, z góry ustaloną na poziomie algorytmu liczbą stopni obliczeniowych oraz prawie dowolną do ustalenia doładnością na poziomie poniżej %. W niniejszej pracy przedstawiono algorytm oraz właściwości implementacji uładowej na poziomie RTL (ang. Register Transfer Level) metody nieodtwarzającej obliczania PK [8-]. Algorytm ten wyorzystuje stałą liczbę iteracji, a jego realizację uładową można zrealizować tylo przy wyorzystaniu sumatorów oraz rejestrów. W podrozdziale 2 przedstawiono algorytm obliczania PK metoda nieodtwarzającą, a w podrozdziale 3 przedstawiono jej implementację uładową.

3 Realizacja na poziomie RTL obliczania pierwiasta wadratowego z METODA NIEODTWARZAJĄCA OBLICZANIA PIERWIASTKA KWADRATOWEGO Przy obliczaniu PK metodą nieodtwarzającą wyorzystuje się reprezentację operandów w odzie uzupełnienia do 2. Algorytm ten w ażdej iteracji generuje przybliżoną wartość wyniu (rys. ). Jao wyni otrzymuje się wartość całowitą pierwiasta Q oraz resztę R X Q Q. W zależności od znau R w olejnych iteracjach odtwarza się olejny bit wartości pierwiasta Q, poprzez dodanie lub odejmowanie na odpowiedniej pozycji. Algorytm ten w ażdej iteracji wyznacza wartość pierwiasta bez odtwarzania wspomnianej reszty []. Zgodnie z przedstawionym schematem, liczba iteracji głównej pętli obliczeniowej zależna jest od długości reprezentacji binarnej liczby podpierwiastowej X. Załadając, że X jest liczbą n-bitową, pierwiaste wadratowy z X można obliczyć w n/2 roach. W celu bardziej szczegółowej analizy roów obliczeniowych rozważanego algorytmu, załóżmy, że liczba podpierwiastowa X ma reprezentację 6-bitową X x, x,..., x, ). Wartość całowita Q może być reprezentowana przez ( 5 4 x0 2 liczbę 8-bitową Q ( q7, q6,..., q, q0), a reszta R X Q jest liczbą co najwyżej 9-bitową o reprezentacji R ( r8, r7,..., r, r0 ). W algorytmie przyjmuje się, że na ażdą parę bitów liczby podpierwiastowej przypada jeden bit liczby reprezentującej wartość pierwiasta. Obliczenia w pierwszym rou prowadzi się dla pary x 5 x 4. Najstarszy bit wartości pierwiasta p 7 przyjmuje wartość 0, gdy ( x 5, x4 ) (0,0) lub, gdy odpowiednio ( x 5, x4 ) (0,), ( x 5, x4 ) (,0 ), ( x 5, x4 ) (,). W ogólności wartość q 7 można obliczyć jao różnicę 0 x 52 x4 2. Jeżeli różnica jest ujemna, to q 7 0, a w przeciwnym przypadu q 7. Wartość reszty w rou pierwszym dla = 7 oblicza się poprzez wyonanie operacji R7 x5 2 x4 2 q72 q7 2, gdzie R 7 oznacza resztę uzysaną przy wyznaczaniu 7 bitu pierwiasta Q. W efecie R może przyjąć jedną z trzech wartości 0, lub 2. W olejnym rou dla pary bitów x, ) nowa wartość reszty dla =6 ( 3 x wynosi R6 R7 2 x3 2 x2 2 i obliczana jest jao onatenacja R 7 i bitów { x 3, x 2}. Dalej wyznaczany jest szósty bit q 6 pierwiasta Q dla fragmentu liczby podpierwiastowej X o wartości i i 2 i x 2. Ponieważ q 2 q x i 2 i 2 i () można wyprowadzić ogólną formułę wyznaczania olejnego bitu pierwiasta Q. Obliczając prawą stronę () otrzymujemy

4 282 Robert Smy, Maciej Czyża ( 2 q7 ) ( 2 q7 ) 4 q7 q7 4 q7. (2) Korzystając z () i (2) uzysujemy R x3 2 x2 2 4 q7. (3) Zależność ta może być zweryfiowana przez podstawienie R7 x5 2 x4 2 q7 2 q7 2. Uogólniając powyższą procedurę dla olejnych roów obliczeniowych można uzysać formułę wyznaczania reszty dla - w postaci sh2 neg sh2 R R Q, (4) gdy R 0, gdzie sh2 sh2 R R ( R,x2,x2 2 ) oraz neg sh2 neg sh2 Q Q ( Q,0, ) Natomiast dla R 0 sh2 neg sh2 R R Q, (5) gdzie sh2 sh2 R R ( R,x2,x2 2 ) oraz neg sh2 neg sh2 Q Q ( Q,, ). START N R>=0 T N T N T R>=0 R<0 X=? P=P << R = R + (P << ) N=6, Q=0, R=0 i=n/2- R=((R<<2) ((X>>(2*i))&3)) - (P << 2 ) N i>=0 T P= P << R=((R<<2) ((X>>(2*i))&3)) - (P << 2 3) P,R STOP Rys.. Schemat bloowy programowego algorytmu metody nieodtwarzającej obliczania PK z X

5 Realizacja na poziomie RTL obliczania pierwiasta wadratowego z W celu analizy właściwości numerycznych przedstawionego algorytmu wyonano jego symulację programową w języu Python. Listing opracowanego programu przedstawiono na rysunu 2. Na rysunu 3 zaprezentowano porównanie przyładowych wyniów otrzymanych dla omawianego algorytmu PK z wyniami, tóre daje funcja biblioteczna sqrt(). Ja widać, wartości reszty dla X=280 i X=400 różnią się o od wyniów z funcji bibliotecznej. Może to wyniać z wewnętrznej reprezentacji liczb całowitych, tóra jest zawsze stała dla przyjętego typu danych i jest różna od założonej długości słowa n. Rys. 2. Implementacja programowa w Python algorytmu metody nieodtwarzającej obliczania PK Rys. 3. Przyładowe wynii zwracane przez program realizujący algorytm metody nieodtwarzającej obliczania PK

6 284 Robert Smy, Maciej Czyża 3. IMPLEMENTACJA UKŁADOWA METODY NIEODTWARZAJĄCEJ OBLICZANIA PIERWIASTKA KAWADRATOWEGO Ogólna idea przy realizacji uładowej algorytmu metody nieodtwarzającej PK polega na wyorzystaniu jednosti obliczającej z odpowiednimi rejestrami. W przedstawionej struturze na rysunu 4 dla n-bitowego słowa wejściowego X wyorzystano n+2-bitowy sumator ADD realizujący dodawanie lub odejmowanie w odzie U2. Wartość liczby podpierwiastowej podawana jest do rejestru SRL X przesuwającego w lewo o 2 pozycje. Na wejściach sumatora znajdują się rejestry: SR L pomocniczy rejestr n+2-bitowy, przechowujący bieżąca wartość reszty z poprzedniego rou uwzględnianą przy sumowaniu oraz SRL quot rejestr przesuwający w lewo przechowujący bieżącą wartość pierwiasta. Na wyjściu sumatora znajduje się rejestr SR rem przechowujący atualną wartość reszty. Najstarszy bit bieżącej reszty oreśla jej zna. Informacja ta jest wyorzystywana do oreślania znau bieżącej wartości pierwiasta podczas sumowania w atualnie realizowanym rou (MUX i U2). shift SRLX x5 x4 x3 x2 x x0 shift SRL SRLquot q7 q6 q q0 9 9 U2 0 MUX s ADD SRrem r8 r7 r6 r r0 Rys. 4. Schemat bloowy implementacji uładowej algorytmu metody nieodtwarzającej obliczania PK dla zaresu n = 6 Na rysunu 5 przedstawiono opis w języu VHDL uładu realizującgo algorytm obliczania PK metodą nieodtwarzającą. Kod odzwierciedla struturę uładu opisaną wyżej. Przedstawione podejście pozwala na realizację strutur uła-

7 Realizacja na poziomie RTL obliczania pierwiasta wadratowego z dowych dla zaresów n-bitowych słowa wejściowego X, gdzie n 8,6,32,..., 2 dla 3,4,5,.... Zares ten można podawać bezpośrednio w opisie VHDL poprzez nadanie wartości zmiennej n przed wyonaniem syntezy. Dodatowo poprzez modyfiację parametrów syntezy taiego uładu można uzysać dwie różne implementacje uładowe: jedną w postaci strutury drzewiastej (rys. 6), drugą w postaci pojedynczej jednosti przetwarzającej (rys. 7) o onstrucji zbliżonej do ALU (ang. arithmetic logic unit). Rys. 5. Opis w VHDL uładowej n-bitowej implementacji algorytmu metody nieodtwarzającej obliczania PK Omawianą realizację uładową PK algorytmu metody nieodtwarzającej zasymulowano oraz poddano syntezie w środowisu FPGA Altera Quartus II dla uładu serii Cyclone V [2]. Przyładowe wynii symulacji potwierdzające poprawność pracy uładu w wersji 6-bitowej o struturze drzewiastej przedstawiono na rysunu 8. W tabeli przedstawiono wynii syntezy uładów w onfiguracjach dla zaresów 8-,6- i 32-bitowych.

8 286 Robert Smy, Maciej Czyża Rys. 6. Uładowa implementacja algorytmu metody nieodtwarzającej obliczania PK na poziomie RTL strutura drzewa Rys. 7. Uładowa implementacja algorytmu metody nieodtwarzającej obliczania PK na poziomie RTL strutura z ALU Rys. 8. Wyni symulacji uładowej implementacji algorytmu metody nieodtwarzającej obliczania PK (x liczba podpierwiastowa, qo wartość całowita pierwiasta, ro wartość całowita reszty) Tabela. Wynii syntezy w Altera Quartus II (uład FPGA Cyclone V) uładowej implementacji algorytmu metody nieodtwarzającej obliczania PK dla wariantu 8-, 6 i 32- bitowego Square rooter input x [no. bits] 8-bit 6-bit 32-bit Estimate of Logic utilization (ALMs needed) Combinational ALUT usage for logic input functions input functions input functions input functions <=3 input function I/O pins Maximum fan-out Total fan-out Average fan-out

9 Realizacja na poziomie RTL obliczania pierwiasta wadratowego z PODSUMOWANIE W pracy zrealizowano algorytm obliczania pierwiasta wadratowego metodą nieodtwarzającą. Przedstawiono jego podstawowe własności numeryczne oraz wynii symulacji programowej. Algorytm działa na operandach całowitych i wyorzystuje stałą liczbę iteracji zależną od długości słowa wejściowego. W wyniu działania algorytmu uzysuje się wartość pierwiasta oraz wartość reszty. Przedstawiony algorytm pozwala na realizację uładową wyorzystującą tylo jeden sumator w jednym stopniu dla wersji z pojedynczą jednostą przetwarzającą lub n/2 sumatorów dla strutury drzewiastej. Przedstawiono wynii implementacji uładowej dla przyładowych zaresów n=8-, 6-, 32-bitowych. Opis uładu wyonano w VHDL oraz przeprowadzono syntezy w środowisu Altera Quartus II dla trzech wybranych wariantów. Opracowany opis uładu w VHDL, przedstawiony w tej pracy, ma charater ogólny z dowolnie ustalanym zaresem bitowym n. BIBLIOGRAFIA [] Kabuo H., Taniguchi T., Miyoshi A., Yamashita H., Urano M., Edamatsu H., Kuninobu S.: Accurate rounding scheme for the Newton-Raphson method using redundant binary representation, IEEE Trans. Comput., vol. 43, no., pp. 43 5, Jan [2] Volder J.E.: The CORDIC Trigonometric Technique: IRE Transactions on Electronic Computers, pp , Sept [3] Meher P., K., Vallis J., Tso-Bing Juang, Sridharan K., Maharanta K.: 50 Years of CORDIC: Algorithms, Architectures, and Applications, IEEE Trans. Circuits Syst. Regular Papers, vol. 56, no. 9, pp , Sept [4] Ye M., Liu T., Ye Y., Xu G., Xu T.: FPGA Implementation of CORDIC-Based Square Root Operation for Parameter Extraction of Digital Pre-Distortion for Power Amplifiers, In Proc. of 200 6th International Conference on Wireless Communications Networing and Mobile Computing (WiCOM), pp. 4, 200. [5] Xilinx: LogiCORE IP CORDIC v4.0. Product specification. March 20. [6] Filip A. E: Linear approximations to sqrt(x 2 +y 2 ) having equiripple error characteristics, IEEE Trans. Audio Electroacoustics, vol. 2, no. 6, pp , Dec [7] Czyża M., Smy R.: FPGA realization of an improved alpha max plus beta min algorithm. Poznan University of Technology Academic Journals Electrical Engineering, vol. 80, pp.5 60, 204. [8] Sutino T.: An efficient implementation of the nonrestoring square root algorithm in gate level, Int. Journal Comput. Theory Eng., vol. 3, no., pp. 46 5, 20.

10 288 Robert Smy, Maciej Czyża [9] Sutino T., Jidin Z.: Simplified VHDL coding of modified nonrestoring square root calculator. Int. J. Reconfigurable Embed. Syst., vol., no., pp , 202. [0] Li Y., Chu W.: Implementation of Single Precision Floating Point Square Root on FPGAs, Proc. of 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp , 997. [] Li Y., Chu W.: A New Non-Restoring Square Root Algorithm and Its VLSI Implementations, Proc. on 996 IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD '96, pp , 996. [2] Altera, Overview Cyclone V FPGA & SoC, IMPLEMENTATION AT THE RTL LEVEL OF SQUARE ROOTING WITH A USE OF NON-RESTORING METHOD Computation of square root is the crucial operation in digital signal processing, especially when computing the modulus of complex signals. In this wor we present the square rooting algorithm using non-restoring method and its implementation at the RTL level. The method allows for compact realization that uses adders and registers only. The hardware requirements for square rooting for 8-, 6- and 32-bit operand have been analyzed. An VHDL implementation has been presented as well as the results of synthesis for the chosen variants in Altera Quartus II environment. (Received: , revised: )

OBLICZANIE MODUŁU LICZBY ZESPOLONEJ W FPGA Z UŻYCIEM ALGORYTMU CORDIC

OBLICZANIE MODUŁU LICZBY ZESPOLONEJ W FPGA Z UŻYCIEM ALGORYTMU CORDIC POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 84 Electrical Engineering 015 Maciej CZYŻAK* Robert SMYK* OBLICZANIE MODUŁU LICZBY ZESPOLONEJ W FPGA Z UŻYCIEM ALGORYTMU CORDIC W pracy przedstawiono

Bardziej szczegółowo

TEORIA OBWODÓW I SYGNAŁÓW LABORATORIUM

TEORIA OBWODÓW I SYGNAŁÓW LABORATORIUM EORI OBWODÓW I SYGNŁÓW LBORORIUM KDEMI MORSK Katedra eleomuniacji Morsiej Ćwiczenie nr 2: eoria obwodów i sygnałów laboratorium ĆWICZENIE 2 BDNIE WIDM SYGNŁÓW OKRESOWYCH. Cel ćwiczenia Celem ćwiczenia

Bardziej szczegółowo

FPGA IMPLEMENTATION OF FAST FOURIER TRANSFORM ALGORITHM IMPLEMENTACJA ALGORYTMU SZYBKIEJ TRANSFORMATY FOURIERA W UKŁADZIE PROGRAMOWALNYM FPGA

FPGA IMPLEMENTATION OF FAST FOURIER TRANSFORM ALGORITHM IMPLEMENTACJA ALGORYTMU SZYBKIEJ TRANSFORMATY FOURIERA W UKŁADZIE PROGRAMOWALNYM FPGA Inż. Arkadiusz Pantoł IV rok Koło Naukowe Techniki Cyfrowej dr inż. Wojciech Mysiński opiekun naukowy FPGA IMPLEMENTATION OF FAST FOURIER TRANSFORM ALGORITHM IMPLEMENTACJA ALGORYTMU SZYBKIEJ TRANSFORMATY

Bardziej szczegółowo

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Zasady arytmetyki stałoprzecinkowej oraz operacji arytmetycznych w formatach Q

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Zasady arytmetyki stałoprzecinkowej oraz operacji arytmetycznych w formatach Q LABORAORIUM PROCESORY SYGAŁOWE W AUOMAYCE PRZEMYSŁOWEJ Zasady arytmetyki stałoprzecinkowej oraz operacji arytmetycznych w formatach Q 1. Zasady arytmetyki stałoprzecinkowej. Kody stałopozycyjne mają ustalone

Bardziej szczegółowo

( ) + ( ) T ( ) + E IE E E. Obliczanie gradientu błędu metodą układu dołączonego

( ) + ( ) T ( ) + E IE E E. Obliczanie gradientu błędu metodą układu dołączonego Obliczanie gradientu błędu metodą uładu dołączonego /9 Obliczanie gradientu błędu metodą uładu dołączonego Chodzi o wyznaczenie pochodnych cząstowych funcji błędu E względem parametrów elementów uładu

Bardziej szczegółowo

Teoretyczne Podstawy Informatyki

Teoretyczne Podstawy Informatyki Teoretyczne Podstawy Informatyki cel zajęć Celem kształcenia jest uzyskanie umiejętności i kompetencji w zakresie budowy schematów blokowych algor ytmów oraz ocenę ich złożoności obliczeniowej w celu optymizacji

Bardziej szczegółowo

SPIS TREŚCI. 2. Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania

SPIS TREŚCI. 2. Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania SPIS TREŚCI 1. Wstęp... 5 2. Arytmetyka rozproszona... 6 2.1. Symulacja układu programowalnego... 7 3. Realizacja algorytmu cyfrowego filtrowania... 9 4....... 10 5....... 12 6. Podsumowanie... 13 Literatura...

Bardziej szczegółowo

Architektura systemów komputerowych. Poziom układów logicznych. Układy mnoŝące i dzielące

Architektura systemów komputerowych. Poziom układów logicznych. Układy mnoŝące i dzielące Architektura systemów komputerowych Poziom układów logicznych. Układy mnoŝące i dzielące Cezary Bolek Katedra Informatyki Plan wykładu Układy mnoŝące liczby całkowite MnoŜenie liczb bez znaku MnoŜarka

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. MnoŜenie realizacja sprzętowa (wersja 1) Układy mnoŝące liczby całkowite.

Plan wykładu. Architektura systemów komputerowych. MnoŜenie realizacja sprzętowa (wersja 1) Układy mnoŝące liczby całkowite. Plan wykładu rchitektura systemów komputerowych Poziom układów logicznych. Układy mnoŝące i dzielące Cezary Bolek Katedra Informatyki Układy mnoŝące liczby całkowite MnoŜenie liczb bez znaku MnoŜarka sekwencyjna

Bardziej szczegółowo

Współczesne techniki informacyjne

Współczesne techniki informacyjne Współczesne techniki informacyjne są multimedialne, można oczekiwać, że po cywilizacji pisma (i druku) nastąpi etap cywilizacji obrazowej czyli coraz większa jest potrzeba gromadzenia i przysyłania wielkiej

Bardziej szczegółowo

Zastosowania programowalnych układów analogowych isppac

Zastosowania programowalnych układów analogowych isppac Zastosowania programowalnych uładów analogowych isppac 0..80 strutura uładu "uniwersalnego" isppac0 ułady nadzorujące na isppac0, 30 programowanie filtrów na isppac 80 analiza częstotliwościowa projetowanych

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Optymalizacja harmonogramów budowlanych - problem szeregowania zadań

Optymalizacja harmonogramów budowlanych - problem szeregowania zadań Mieczysław POŁOŃSKI Wydział Budownictwa i Inżynierii Środowisa, Szoła Główna Gospodarstwa Wiejsiego, Warszawa, ul. Nowoursynowsa 159 e-mail: mieczyslaw_polonsi@sggw.pl Założenia Optymalizacja harmonogramów

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

Kodowanie informacji w systemach cyfrowych

Kodowanie informacji w systemach cyfrowych Wprowadzenie do inżynierii przetwarzania informacji. Ćwiczenie 2. Kodowanie informacji w systemach cyfrowych Cel dydatyczny: Nabycie umiejętności posługiwania się różnymi odami wyorzystywanymi w systemach

Bardziej szczegółowo

ZDALNY POMIAR PRĄDU Z MOŻLIWOŚCIĄ OBRÓBKI CYFROWEJ W FPGA

ZDALNY POMIAR PRĄDU Z MOŻLIWOŚCIĄ OBRÓBKI CYFROWEJ W FPGA POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 84 Electrical Engineering 2015 Robert SMYK* Maciej CZYŻAK* ZDALNY POMIAR PRĄDU Z MOŻLIWOŚCIĄ OBRÓBKI CYFROWEJ W FPGA W artykule przedstawiono realizację

Bardziej szczegółowo

Pracownia Komputerowa wykład V

Pracownia Komputerowa wykład V Pracownia Komputerowa wykład V dr Magdalena Posiadała-Zezula http://www.fuw.edu.pl/~mposiada/pk16 1 Reprezentacje liczb i znaków! Liczby:! Reprezentacja naturalna nieujemne liczby całkowite naturalny system

Bardziej szczegółowo

Pracownia Komputerowa wykład VI

Pracownia Komputerowa wykład VI Pracownia Komputerowa wykład VI dr Magdalena Posiadała-Zezula http://www.fuw.edu.pl/~mposiada 1 Przypomnienie 125 (10) =? (2) Liczby całkowite : Operacja modulo % reszta z dzielenia: 125%2=62 reszta 1

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

ZASTOSOWANIE SIECI NEURONOWEJ RBF W REGULATORZE KURSU STATKU

ZASTOSOWANIE SIECI NEURONOWEJ RBF W REGULATORZE KURSU STATKU Mirosław Tomera Aademia Morsa w Gdyni Wydział Eletryczny Katedra Automatyi Orętowej ZASTOSOWANIE SIECI NEURONOWEJ RBF W REGULATORZE KURSU STATKU W pracy przedstawiona została implementacja sieci neuronowej

Bardziej szczegółowo

Optymalizacja harmonogramów budowlanych - problem szeregowania zadań

Optymalizacja harmonogramów budowlanych - problem szeregowania zadań Mieczysław OŁOŃSI Wydział Budownictwa i Inżynierii Środowisa, Szoła Główna Gospodarstwa Wiejsiego, Warszawa, ul. Nowoursynowsa 159 e-mail: mieczyslaw_polonsi@sggw.pl Założenia Optymalizacja harmonogramów

Bardziej szczegółowo

PROCENTY, PROPORCJE, WYRAŻENIA POTEGOWE

PROCENTY, PROPORCJE, WYRAŻENIA POTEGOWE PROCENTY, PROPORCJE, WYRAŻENIA POTEGOWE ORAZ ŚREDNIE 1. Procenty i proporcje DEFINICJA 1. Jeden procent (1%) pewnej liczby a to setna część tej liczby, tórą oznacza się: 1% a, przy czym 1% a = 1 p a, zaś

Bardziej szczegółowo

Ćwiczenie 4 Badanie wpływu asymetrii obciążenia na pracę sieci

Ćwiczenie 4 Badanie wpływu asymetrii obciążenia na pracę sieci Ćwiczenie 4 - Badanie wpływu asymetrii obciążenia na pracę sieci Strona 1/13 Ćwiczenie 4 Badanie wpływu asymetrii obciążenia na pracę sieci Spis treści 1.Cel ćwiczenia...2 2.Wstęp...2 2.1.Wprowadzenie

Bardziej szczegółowo

A4: Filtry aktywne rzędu II i IV

A4: Filtry aktywne rzędu II i IV A4: Filtry atywne rzędu II i IV Jace Grela, Radosław Strzała 3 maja 29 1 Wstęp 1.1 Wzory Poniżej zamieszczamy podstawowe wzory i definicje, tórych używaliśmy w obliczeniach: 1. Związe między stałą czasową

Bardziej szczegółowo

Teoria przetwarzania A/C i C/A.

Teoria przetwarzania A/C i C/A. Teoria przetwarzania A/C i C/A. Autor: Bartłomiej Gorczyński Cyfrowe metody przetwarzania sygnałów polegają na przetworzeniu badanego sygnału analogowego w sygnał cyfrowy reprezentowany ciągiem słów binarnych

Bardziej szczegółowo

Filtracja pomiarów z głowic laserowych

Filtracja pomiarów z głowic laserowych dr inż. st. of. Paweł Zalewsi Filtracja pomiarów z głowic laserowych słowa luczowe: filtracja pomiaru odległości, PNDS Założenia filtracji pomiaru odległości. Problem wyznaczenia odległości i parametrów

Bardziej szczegółowo

Metody numeryczne Technika obliczeniowa i symulacyjna Sem. 2, EiT, 2014/2015

Metody numeryczne Technika obliczeniowa i symulacyjna Sem. 2, EiT, 2014/2015 Metody numeryczne Technika obliczeniowa i symulacyjna Sem. 2, EiT, 2014/2015 1 Metody numeryczne Dział matematyki Metody rozwiązywania problemów matematycznych za pomocą operacji na liczbach. Otrzymywane

Bardziej szczegółowo

WYKŁAD 5 METODY OPTYMALIZACJI NIELINIOWEJ BEZ OGRANICZEŃ

WYKŁAD 5 METODY OPTYMALIZACJI NIELINIOWEJ BEZ OGRANICZEŃ WYKŁAD 5 METODY OPTYMALIZACJI NIELINIOWEJ BEZ OGRANICZEŃ Wstęp. Za wyjątie nielicznych funcji, najczęściej w postaci wieloianów, dla tórych ożna znaleźć iniu na drodze analitycznej, pozostała więszość

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Metoda rozwiązywania układu równań liniowych z symetryczną, nieokreśloną macierzą współczynników ( 0 )

Metoda rozwiązywania układu równań liniowych z symetryczną, nieokreśloną macierzą współczynników ( 0 ) MATEMATYKA STOSOWANA 7, 2006 Izabella Czochralsa (Warszawa) Metoda rozwiązywania uładu równań liniowych z symetryczną, nieoreśloną macierzą współczynniów ( 0 ) Streszczenie. W pracy zaadaptowano opracowaną

Bardziej szczegółowo

AUTO-STROJENIE REGULATORA TYPU PID Z WYKORZYSTANIEM LOGIKI ROZMYTEJ

AUTO-STROJENIE REGULATORA TYPU PID Z WYKORZYSTANIEM LOGIKI ROZMYTEJ POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 75 Electrical Engineering 2013 Łukasz NIEWIARA* Krzysztof ZAWIRSKI* AUTO-STROJENIE REGULATORA TYPU PID Z WYKORZYSTANIEM LOGIKI ROZMYTEJ Zagadnienia

Bardziej szczegółowo

9. Sprzężenie zwrotne własności

9. Sprzężenie zwrotne własności 9. Sprzężenie zwrotne własności 9.. Wprowadzenie Sprzężenie zwrotne w uładzie eletronicznym realizuje się przez sumowanie części sygnału wyjściowego z sygnałem wejściowym i użycie zmodyiowanego w ten sposób

Bardziej szczegółowo

Algorytm Grovera. Kwantowe przeszukiwanie zbiorów. Robert Nowotniak

Algorytm Grovera. Kwantowe przeszukiwanie zbiorów. Robert Nowotniak Wydział Fizyki Technicznej, Informatyki i Matematyki Stosowanej Politechnika Łódzka 13 listopada 2007 Plan wystapienia 1 Informatyka Kwantowa podstawy 2 Opis problemu (przeszukiwanie zbioru) 3 Intuicyjna

Bardziej szczegółowo

ANALIZA WŁAŚCIWOŚCI FILTRU PARAMETRYCZNEGO I RZĘDU

ANALIZA WŁAŚCIWOŚCI FILTRU PARAMETRYCZNEGO I RZĘDU POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 78 Electrical Engineering 2014 Seweryn MAZURKIEWICZ* Janusz WALCZAK* ANALIZA WŁAŚCIWOŚCI FILTRU PARAMETRYCZNEGO I RZĘDU W artykule rozpatrzono problem

Bardziej szczegółowo

Arytmetyka binarna - wykład 6

Arytmetyka binarna - wykład 6 SWB - Arytmetyka binarna - wykład 6 asz 1 Arytmetyka binarna - wykład 6 Adam Szmigielski aszmigie@pjwstk.edu.pl SWB - Arytmetyka binarna - wykład 6 asz 2 Naturalny kod binarny (NKB) pozycja 7 6 5 4 3 2

Bardziej szczegółowo

Systemy zapisu liczb.

Systemy zapisu liczb. Systemy zapisu liczb. Cele kształcenia: Zapoznanie z systemami zapisu liczb: dziesiętny, dwójkowy, ósemkowy, szesnastkowy. Zdobycie umiejętności wykonywania działań na liczbach w różnych systemach. Zagadnienia:

Bardziej szczegółowo

A. Cel ćwiczenia. B. Część teoretyczna

A. Cel ćwiczenia. B. Część teoretyczna A. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z wsaźniami esploatacyjnymi eletronicznych systemów bezpieczeństwa oraz wyorzystaniem ich do alizacji procesu esplatacji z uwzględnieniem przeglądów

Bardziej szczegółowo

Wprowadzenie do informatyki ćwiczenia

Wprowadzenie do informatyki ćwiczenia Podstawowe działania na liczbach binarnych dr inż. Izabela Szczęch WSNHiD 2010/2011 Ćwiczenia z wprowadzenia do informatyki Dodawanie Odejmowanie Mnoż enie Dzielenie Plan zajęć 2 Izabela Szczęch 1 Dodawanie

Bardziej szczegółowo

Pracownia Komputerowa wyk ad VI

Pracownia Komputerowa wyk ad VI Pracownia Komputerowa wyk ad VI dr Magdalena Posiada a-zezula Magdalena.Posiadala@fuw.edu.pl http://www.fuw.edu.pl/~mposiada Magdalena.Posiadala@fuw.edu.pl 1 Przypomnienie 125 (10) =? (2) Liczby ca kowite

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Pomiary napięć przemiennych

Pomiary napięć przemiennych LABORAORIUM Z MEROLOGII Ćwiczenie 7 Pomiary napięć przemiennych . Cel ćwiczenia Celem ćwiczenia jest poznanie sposobów pomiarów wielości charaterystycznych i współczynniów, stosowanych do opisu oresowych

Bardziej szczegółowo

SYMULACJA ZAKŁÓCEŃ W UKŁADACH AUTOMATYKI UTWORZONYCH ZA POMOCĄ OBWODÓW ELEKTRYCZNYCH W PROGRAMACH MATHCAD I PSPICE

SYMULACJA ZAKŁÓCEŃ W UKŁADACH AUTOMATYKI UTWORZONYCH ZA POMOCĄ OBWODÓW ELEKTRYCZNYCH W PROGRAMACH MATHCAD I PSPICE POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 76 Electrical Engineering 2013 Piotr FRĄCZAK* SYMULACJA ZAKŁÓCEŃ W UKŁADACH AUTOMATYKI UTWORZONYCH ZA POMOCĄ OBWODÓW ELEKTRYCZNYCH W PROGRAMACH MATHCAD

Bardziej szczegółowo

Zapis liczb binarnych ze znakiem

Zapis liczb binarnych ze znakiem Zapis liczb binarnych ze znakiem W tej prezentacji: Zapis Znak-Moduł (ZM) Zapis uzupełnień do 1 (U1) Zapis uzupełnień do 2 (U2) Zapis Znak-Moduł (ZM) Koncepcyjnie zapis znak - moduł (w skrócie ZM - ang.

Bardziej szczegółowo

ANALIZA METROLOGICZNA UKŁADU DO DIAGNOSTYKI ŁOŻYSK OPARTEJ NA POMIARACH MOCY CHWILOWEJ

ANALIZA METROLOGICZNA UKŁADU DO DIAGNOSTYKI ŁOŻYSK OPARTEJ NA POMIARACH MOCY CHWILOWEJ POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 7 Electrical Engineering 01 Ariel DZWONKOWSKI* ANALIZA METROLOGICZNA UKŁADU DO DIAGNOSTYKI ŁOŻYSK OPARTEJ NA POMIARACH MOCY CHWILOWEJ W artyule przedstawiono

Bardziej szczegółowo

Problemy implementacji algorytmów FFT w strukturach FPGA 1)

Problemy implementacji algorytmów FFT w strukturach FPGA 1) Problemy implementacji algorytmów FFT w strukturach FPGA 1) Robert Kędzierawski Wojskowa Akademia Techniczna, Wydział Elektroniki Streszczenie Omówiono implementacje algorytmów FFT dla przypadku przetwarzania

Bardziej szczegółowo

WYMAGANIA Z WIEDZY I UMIEJĘTNOŚCI NA POSZCZEGÓLNE STOPNIE SZKOLNE DLA KLASY 3g. zakres rozszerzony

WYMAGANIA Z WIEDZY I UMIEJĘTNOŚCI NA POSZCZEGÓLNE STOPNIE SZKOLNE DLA KLASY 3g. zakres rozszerzony WYMAGANIA Z WIEDZY I UMIEJĘTNOŚCI NA POSZCZEGÓLNE STOPNIE SZKOLNE DLA KLASY 3g zares rozszerzony 1. Wielomiany bardzo zna pojęcie jednomianu jednej zmiennej; potrafi wsazać jednomiany podobne; potrafi

Bardziej szczegółowo

Wstęp do Programowania potok funkcyjny

Wstęp do Programowania potok funkcyjny Wstęp do Programowania potok funkcyjny Marcin Kubica 2010/2011 Outline Procedury wyższych rzędów 1 Procedury wyższych rzędów jako abstrakcje konstrukcji programistycznych Intuicje Procedury wyższych rzędów

Bardziej szczegółowo

APLIKACJA NAPISANA W ŚRODOWISKU LABVIEW SŁUŻĄCA DO WYZNACZANIA WSPÓŁCZYNNIKA UZWOJENIA MASZYNY INDUKCYJNEJ

APLIKACJA NAPISANA W ŚRODOWISKU LABVIEW SŁUŻĄCA DO WYZNACZANIA WSPÓŁCZYNNIKA UZWOJENIA MASZYNY INDUKCYJNEJ POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 83 Electrical Engineering 2015 Damian BURZYŃSKI* Leszek KASPRZYK* APLIKACJA NAPISANA W ŚRODOWISKU LABVIEW SŁUŻĄCA DO WYZNACZANIA WSPÓŁCZYNNIKA UZWOJENIA

Bardziej szczegółowo

IMPLEMENTATION OF THE SPECTRUM ANALYZER ON MICROCONTROLLER WITH ARM7 CORE IMPLEMENTACJA ANALIZATORA WIDMA NA MIKROKONTROLERZE Z RDZENIEM ARM7

IMPLEMENTATION OF THE SPECTRUM ANALYZER ON MICROCONTROLLER WITH ARM7 CORE IMPLEMENTACJA ANALIZATORA WIDMA NA MIKROKONTROLERZE Z RDZENIEM ARM7 Łukasz Deńca V rok Koło Techniki Cyfrowej dr inż. Wojciech Mysiński opiekun naukowy IMPLEMENTATION OF THE SPECTRUM ANALYZER ON MICROCONTROLLER WITH ARM7 CORE IMPLEMENTACJA ANALIZATORA WIDMA NA MIKROKONTROLERZE

Bardziej szczegółowo

4.15 Badanie dyfrakcji światła laserowego na krysztale koloidalnym(o19)

4.15 Badanie dyfrakcji światła laserowego na krysztale koloidalnym(o19) 256 Fale 4.15 Badanie dyfracji światła laserowego na rysztale oloidalnym(o19) Celem ćwiczenia jest wyznaczenie stałej sieci dwuwymiarowego ryształu oloidalnego metodą dyfracji światła laserowego. Zagadnienia

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

WAHADŁO SPRĘŻYNOWE. POMIAR POLA ELIPSY ENERGII.

WAHADŁO SPRĘŻYNOWE. POMIAR POLA ELIPSY ENERGII. ĆWICZENIE 3. WAHADŁO SPRĘŻYNOWE. POMIAR POLA ELIPSY ENERGII. 1. Oscylator harmoniczny. Wprowadzenie Oscylatorem harmonicznym nazywamy punt materialny, na tóry,działa siła sierowana do pewnego centrum,

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Przedmiot: Urządzenia techniki komputerowej Nauczyciel: Mirosław Ruciński

Przedmiot: Urządzenia techniki komputerowej Nauczyciel: Mirosław Ruciński Przedmiot: Urządzenia techniki komputerowej Nauczyciel: Mirosław Ruciński Temat: Systemy zapisu liczb. Cele kształcenia: Zapoznanie z systemami zapisu liczb: dziesiętny, dwójkowy, ósemkowy, szesnastkowy.

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

DSP-MATLAB, Ćwiczenie 5, P.Korohoda, KE AGH. Ćwiczenie 5. Przemysław Korohoda, KE, AGH

DSP-MATLAB, Ćwiczenie 5, P.Korohoda, KE AGH. Ćwiczenie 5. Przemysław Korohoda, KE, AGH DSP-MATLAB, Ćwiczenie 5, P.Korohoda, KE AGH Instrucja do laboratorium z cyfrowego przetwarzania sygnałów Ćwiczenie 5 Wybrane właściwości Dysretnej Transformacji Fouriera Przemysław Korohoda, KE, AGH Zawartość

Bardziej szczegółowo

PORÓWNANIE WYBRANYCH ALGORYTMÓW OPTYMALIZACJI ROZPŁYWU MOCY W SYSTEMIE ELEKTROENERGETYCZNYM A COMPARISON OF SELECTED OPTIMAL POWER FLOW ALGORITHMS

PORÓWNANIE WYBRANYCH ALGORYTMÓW OPTYMALIZACJI ROZPŁYWU MOCY W SYSTEMIE ELEKTROENERGETYCZNYM A COMPARISON OF SELECTED OPTIMAL POWER FLOW ALGORITHMS ELEKRYKA 2013 Zeszyt 4 (228) Ro LIX Artur PASIERBEK, Marcin POŁOMSKI, Radosław SOKÓŁ Politechnia Śląsa w Gliwicach PORÓWNANIE WYBRANYCH ALGORYMÓW OPYMALIZACJI ROZPŁYWU MOCY W SYSEMIE ELEKROENERGEYCZNYM

Bardziej szczegółowo

Technologie Informacyjne

Technologie Informacyjne System binarny Szkoła Główna Służby Pożarniczej Zakład Informatyki i Łączności October 7, 26 Pojęcie bitu 2 Systemy liczbowe 3 Potęgi dwójki 4 System szesnastkowy 5 Kodowanie informacji 6 Liczby ujemne

Bardziej szczegółowo

Algebra liniowa z geometrią analityczną

Algebra liniowa z geometrią analityczną WYKŁAD. Własności zbiorów liczbowych. Podzielność liczb całowitych, relacja przystawania modulo, twierdzenie chińsie o resztach. Liczby całowite Liczby 0,±,±,±3,... nazywamy liczbami całowitymi. Zbiór

Bardziej szczegółowo

Podstawy Informatyki. Inżynieria Ciepła, I rok. Wykład 5 Liczby w komputerze

Podstawy Informatyki. Inżynieria Ciepła, I rok. Wykład 5 Liczby w komputerze Podstawy Informatyki Inżynieria Ciepła, I rok Wykład 5 Liczby w komputerze Jednostki informacji Bit (ang. bit) (Shannon, 948) Najmniejsza ilość informacji potrzebna do określenia, który z dwóch równie

Bardziej szczegółowo

Algorytmy i struktury danych. Wykład 4

Algorytmy i struktury danych. Wykład 4 Wykład 4 Różne algorytmy - obliczenia 1. Obliczanie wartości wielomianu 2. Szybkie potęgowanie 3. Algorytm Euklidesa, liczby pierwsze, faktoryzacja liczby naturalnej 2017-11-24 Algorytmy i struktury danych

Bardziej szczegółowo

Kod IEEE754. IEEE754 (1985) - norma dotycząca zapisu binarnego liczb zmiennopozycyjnych (pojedynczej precyzji) Liczbę binarną o postaci

Kod IEEE754. IEEE754 (1985) - norma dotycząca zapisu binarnego liczb zmiennopozycyjnych (pojedynczej precyzji) Liczbę binarną o postaci Kod IEEE754 IEEE Institute of Electrical and Electronics Engineers IEEE754 (1985) - norma dotycząca zapisu binarnego liczb zmiennopozycyjnych (pojedynczej precyzji) Liczbę binarną o postaci (-1) s 1.f

Bardziej szczegółowo

Wstęp do informatyki- wykład 1 Systemy liczbowe

Wstęp do informatyki- wykład 1 Systemy liczbowe 1 Wstęp do informatyki- wykład 1 Systemy liczbowe Treści prezentowane w wykładzie zostały oparte o: S. Prata, Język C++. Szkoła programowania. Wydanie VI, Helion, 2012 www.cplusplus.com Jerzy Grębosz,

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

MODYFIKACJA KOSZTOWA ALGORYTMU JOHNSONA DO SZEREGOWANIA ZADAŃ BUDOWLANYCH

MODYFIKACJA KOSZTOWA ALGORYTMU JOHNSONA DO SZEREGOWANIA ZADAŃ BUDOWLANYCH MODYFICJ OSZTOW LGORYTMU JOHNSON DO SZEREGOWNI ZDŃ UDOWLNYCH Michał RZEMIŃSI, Paweł NOW a a Wydział Inżynierii Lądowej, Załad Inżynierii Producji i Zarządzania w udownictwie, ul. rmii Ludowej 6, -67 Warszawa

Bardziej szczegółowo

Reprezentacja stałoprzecinkowa. Reprezentacja zmiennoprzecinkowa zapis zmiennoprzecinkowy liczby rzeczywistej

Reprezentacja stałoprzecinkowa. Reprezentacja zmiennoprzecinkowa zapis zmiennoprzecinkowy liczby rzeczywistej Informatyka, studia niestacjonarne I stopnia Rok akademicki /, Wykład nr 4 /6 Plan wykładu nr 4 Informatyka Politechnika Białostocka - Wydział lektryczny lektrotechnika, semestr II, studia niestacjonarne

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Metody Obliczeniowe w Nauce i Technice

Metody Obliczeniowe w Nauce i Technice 8. Wyznaczanie pierwiastków wielomianów Marian Bubak Department of Computer Science AGH University of Science and Technology Krakow, Poland bubak@agh.edu.pl dice.cyfronet.pl Contributors Magdalena Nowak

Bardziej szczegółowo

Wprowadzenie do informatyki - ć wiczenia

Wprowadzenie do informatyki - ć wiczenia Zmiennoprzecinkowy zapis liczb wymiernych dr inż. Izabela Szczęch WSNHiD Ćwiczenia z wprowadzenia do informatyki Reprezentacja liczb wymiernych Stałoprzecinkowa bez znaku ze znakiem Zmiennoprzecinkowa

Bardziej szczegółowo

Analiza nośności poziomej pojedynczego pala

Analiza nośności poziomej pojedynczego pala Poradni Inżyniera Nr 16 Atualizacja: 09/016 Analiza nośności poziomej pojedynczego pala Program: Pli powiązany: Pal Demo_manual_16.gpi Celem niniejszego przewodnia jest przedstawienie wyorzystania programu

Bardziej szczegółowo

WYTYCZNE I ZALECENIA DO PRZYGOTOWANIA PRAC DYPLOMOWYCH INSTYTUTU INFORMATYKI I AUTOMATYKI KIERUNKU INFORMATYKA

WYTYCZNE I ZALECENIA DO PRZYGOTOWANIA PRAC DYPLOMOWYCH INSTYTUTU INFORMATYKI I AUTOMATYKI KIERUNKU INFORMATYKA WYTYCZNE I ZALECENIA DO PRZYGOTOWANIA PRAC DYPLOMOWYCH INSTYTUTU INFORMATYKI I AUTOMATYKI KIERUNKU INFORMATYKA Opracowanie: Beata Rubin Grzegorz Rubin Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

IMPLEMENTACJA FUNKCJI ZBIORÓW POZIOMICOWYCH W ALGORYTMACH KONSTRUKCJI OBRAZU TOMOGRAFICZNEGO

IMPLEMENTACJA FUNKCJI ZBIORÓW POZIOMICOWYCH W ALGORYTMACH KONSTRUKCJI OBRAZU TOMOGRAFICZNEGO Tomasz RYMARCZYK Stefan F. FLPOWCZ MPLEMENTACJA FUNKCJ ZBORÓW POZOMCOWYCH W ALGORYTMACH KONSTRUKCJ OBRAZU TOMOGRAFCZNEGO STRESZCZENE W pracy przedstawiono metodę rozwiązania zagadnienia odwrotnego w tomografii

Bardziej szczegółowo

ZASTOSOWANIE METODY MONTE CARLO DO WYZNACZANIA KRZYWYCH KINETYCZNYCH ZŁOŻONYCH REAKCJI CHEMICZNYCH

ZASTOSOWANIE METODY MONTE CARLO DO WYZNACZANIA KRZYWYCH KINETYCZNYCH ZŁOŻONYCH REAKCJI CHEMICZNYCH MONIKA GWADERA, KRZYSZTOF KUPIEC ZASTOSOWANIE METODY MONTE CARLO DO WYZNACZANIA KRZYWYCH KINETYCZNYCH ZŁOŻONYCH REAKCJI CHEMICZNYCH APPLICATION OF MONTE CARLO METHOD FOR DETERMINATION OF MULTIPLE REACTIONS

Bardziej szczegółowo

Wykład z Technologii Informacyjnych. Piotr Mika

Wykład z Technologii Informacyjnych. Piotr Mika Wykład z Technologii Informacyjnych Piotr Mika Uniwersalna forma graficznego zapisu algorytmów Schemat blokowy zbiór bloków, powiązanych ze sobą liniami zorientowanymi. Jest to rodzaj grafu, którego węzły

Bardziej szczegółowo

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ KDEMI MORSK KTEDR NWIGCJI TECHNICZEJ ELEMETY ELEKTRONIKI LORTORIUM Kierunek NWIGCJ Specjalność Transport morski Semestr II Ćw. 4 Podstawy techniki cyfrowej Wersja opracowania Marzec 5 Opracowanie: mgr

Bardziej szczegółowo

Kody Huffmana oraz entropia przestrzeni produktowej. Zuzanna Kalicińska. 1 maja 2004

Kody Huffmana oraz entropia przestrzeni produktowej. Zuzanna Kalicińska. 1 maja 2004 Kody uffmana oraz entroia rzestrzeni rodutowej Zuzanna Kalicińsa maja 4 Otymalny od bezrefisowy Definicja. Kod nad alfabetem { 0, }, w tórym rerezentacja żadnego znau nie jest refisem rerezentacji innego

Bardziej szczegółowo

Kod U2 Opracował: Andrzej Nowak

Kod U2 Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH Kod U2 Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ System zapisu liczb ze znakiem opisany w poprzednim

Bardziej szczegółowo

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne 1. Bit Pozycja rejestru lub komórki pamięci służąca do przedstawiania (pamiętania) cyfry w systemie (liczbowym)

Bardziej szczegółowo

Komputerowa reprezentacja oraz prezentacja i graficzna edycja krzywoliniowych obiektów 3d

Komputerowa reprezentacja oraz prezentacja i graficzna edycja krzywoliniowych obiektów 3d Komputerowa reprezentacja oraz prezentacja i graficzna edycja rzywoliniowych obietów 3d Jan Prusaowsi 1), Ryszard Winiarczy 1,2), Krzysztof Sabe 2) 1) Politechnia Śląsa w Gliwicach, 2) Instytut Informatyi

Bardziej szczegółowo

Arytmetyka stałopozycyjna

Arytmetyka stałopozycyjna Wprowadzenie do inżynierii przetwarzania informacji. Ćwiczenie 3. Arytmetyka stałopozycyjna Cel dydaktyczny: Nabycie umiejętności wykonywania podstawowych operacji arytmetycznych na liczbach stałopozycyjnych.

Bardziej szczegółowo

Modelowanie przez zjawiska przybliżone. Modelowanie poprzez zjawiska uproszczone. Modelowanie przez analogie. Modelowanie matematyczne

Modelowanie przez zjawiska przybliżone. Modelowanie poprzez zjawiska uproszczone. Modelowanie przez analogie. Modelowanie matematyczne Modelowanie rzeczywistości- JAK? Modelowanie przez zjawisa przybliżone Modelowanie poprzez zjawisa uproszczone Modelowanie przez analogie Modelowanie matematyczne Przyłady modelowania Modelowanie przez

Bardziej szczegółowo

BADANIA MODELOWE OGNIW SŁONECZNYCH

BADANIA MODELOWE OGNIW SŁONECZNYCH POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 70 Electrical Engineering 2012 Bartosz CERAN* BADANIA MODELOWE OGNIW SŁONECZNYCH W artykule przedstawiono model matematyczny modułu fotowoltaicznego.

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 3 Jan Kazimirski 1 Podstawowe elementy komputera. Procesor (CPU) 2 Plan wykładu Podstawowe komponenty komputera Procesor CPU Cykl rozkazowy Typy instrukcji Stos Tryby adresowania

Bardziej szczegółowo

Metody komputerowe i obliczeniowe Metoda Elementów Skoczonych. Element jednowymiarowy i jednoparametrowy : spryna

Metody komputerowe i obliczeniowe Metoda Elementów Skoczonych. Element jednowymiarowy i jednoparametrowy : spryna Metody omputerowe i obliczeniowe Metoda Elementów Soczonych Element jednowymiarowy i jednoparametrowy : spryna Jest to najprostszy element: współrzdne loalne i globalne jego wzłów s taie same nie potrzeba

Bardziej szczegółowo

Arytmetyka komputera. Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka. Opracował: Kamil Kowalski klasa III TI

Arytmetyka komputera. Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka. Opracował: Kamil Kowalski klasa III TI Arytmetyka komputera Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka Opracował: Kamil Kowalski klasa III TI Spis treści 1. Jednostki informacyjne 2. Systemy liczbowe 2.1. System

Bardziej szczegółowo

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1 Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1. Podstawowe operacje logiczne dla cyfr binarnych Jeśli cyfry 0 i 1 potraktujemy tak, jak wartości logiczne fałsz i prawda, to działanie

Bardziej szczegółowo

ZASTOSOWANIE DYSKRETNEJ ANALIZY FALKOWEJ DO WYKRYWANIA ZWARĆ ZWOJOWYCH W SILNIKU INDUKCYJNYM

ZASTOSOWANIE DYSKRETNEJ ANALIZY FALKOWEJ DO WYKRYWANIA ZWARĆ ZWOJOWYCH W SILNIKU INDUKCYJNYM Zeszyty problemowe Maszyny Eletryczne Nr 100/2013 cz. II 191 Marcin Woliewicz, Czesław T. Kowalsi Politechnia Wrocławsa, Instytut Maszyn Napędów i Pomiarów Eletrycznych ZASTOSOWANIE DYSKRETNEJ ANALIZY

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

R w =

R w = Laboratorium Eletrotechnii i eletronii LABORATORM 6 Temat ćwiczenia: BADANE ZASLACZY ELEKTRONCZNYCH - pomiary w obwodach prądu stałego Wyznaczanie charaterysty prądowo-napięciowych i charaterysty mocy.

Bardziej szczegółowo

MODEL MATEMATYCZNY ZAGREGOWANEGO ELEMENTU UKŁADU ELEKTRYCZNEGO W CYFROWYCH SYMULATORACH PRACUJĄCYCH W CZASIE RZECZYWISTYM

MODEL MATEMATYCZNY ZAGREGOWANEGO ELEMENTU UKŁADU ELEKTRYCZNEGO W CYFROWYCH SYMULATORACH PRACUJĄCYCH W CZASIE RZECZYWISTYM POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 7 Electrical Engineering 20 Sławomir CIEŚLIK* MODEL MATEMATYCZNY ZAGREGOWANEGO ELEMENTU UKŁADU ELEKTRYCZNEGO W CYFROWYCH SYMULATORACH PRACUJĄCYCH

Bardziej szczegółowo

Szybkie układy mnożące

Szybkie układy mnożące Szybkie układy mnożące Operacja mnożenia Operacje dodawania i mnożenia są podstawą algorytmów obliczania wartości innych złożonych funkcji matematycznych oraz przetwarzania sygnałów Implementacje bitowo-szeregowe

Bardziej szczegółowo

DRGANIA WŁASNE RAM OBLICZANIE CZĘSTOŚCI KOŁOWYCH DRGAŃ WŁASNYCH

DRGANIA WŁASNE RAM OBLICZANIE CZĘSTOŚCI KOŁOWYCH DRGAŃ WŁASNYCH Część 5. DRGANIA WŁASNE RAM OBLICZANIE CZĘSTOŚCI KOŁOWYCH... 5. 5. DRGANIA WŁASNE RAM OBLICZANIE CZĘSTOŚCI KOŁOWYCH DRGAŃ WŁASNYCH 5.. Wprowadzenie Rozwiązywanie zadań z zaresu dynamii budowli sprowadza

Bardziej szczegółowo

ARCHITEKTURA SYSTEMÓW KOMPUTEROWYCH

ARCHITEKTURA SYSTEMÓW KOMPUTEROWYCH ARCHITEKTURA SYSTEMÓW KOMPUTEROWYCH reprezentacja danych ASK.RD.01 c Dr inż. Ignacy Pardyka UNIWERSYTET JANA KOCHANOWSKIEGO w Kielcach Rok akad. 2011/2012 c Dr inż. Ignacy Pardyka (Inf.UJK) ASK.RD.01 Rok

Bardziej szczegółowo

ARYTMETYKA BINARNA. Dziesiątkowy system pozycyjny nie jest jedynym sposobem kodowania liczb z jakim mamy na co dzień do czynienia.

ARYTMETYKA BINARNA. Dziesiątkowy system pozycyjny nie jest jedynym sposobem kodowania liczb z jakim mamy na co dzień do czynienia. ARYTMETYKA BINARNA ROZWINIĘCIE DWÓJKOWE Jednym z najlepiej znanych sposobów kodowania informacji zawartej w liczbach jest kodowanie w dziesiątkowym systemie pozycyjnym, w którym dla przedstawienia liczb

Bardziej szczegółowo

Architektura komputerów, Informatyka, sem.iii. Sumatory

Architektura komputerów, Informatyka, sem.iii. Sumatory Sumatory Architektury sumatorów (zarys) Sumatory 1-bitowe Sumatory z propagacją Przeniesień CPA (Carry Propagate Adders) Sumatory wieloargumentowe 3-argumentowe Half Adder HA Macierz sumatorów RCA Full

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Wykład 9. Fizyka 1 (Informatyka - EEIiA 2006/07)

Wykład 9. Fizyka 1 (Informatyka - EEIiA 2006/07) Wyład 9 Fizya 1 (Informatya - EEIiA 006/07) 9 11 006 c Mariusz Krasińsi 006 Spis treści 1 Ruch drgający. Dlaczego właśnie harmoniczny? 1 Drgania harmoniczne proste 1.1 Zależność między wychyleniem, prędością

Bardziej szczegółowo