WYTYCZNE I ZALECENIA DO PRZYGOTOWANIA PRAC DYPLOMOWYCH INSTYTUTU INFORMATYKI I AUTOMATYKI KIERUNKU INFORMATYKA

Wielkość: px
Rozpocząć pokaz od strony:

Download "WYTYCZNE I ZALECENIA DO PRZYGOTOWANIA PRAC DYPLOMOWYCH INSTYTUTU INFORMATYKI I AUTOMATYKI KIERUNKU INFORMATYKA"

Transkrypt

1 WYTYCZNE I ZALECENIA DO PRZYGOTOWANIA PRAC DYPLOMOWYCH INSTYTUTU INFORMATYKI I AUTOMATYKI KIERUNKU INFORMATYKA Opracowanie: Beata Rubin Grzegorz Rubin

2 Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży Instytut Informatyki i Automatyki Kierunek studiów: Informatyka Specjalność: Systemy oprogramowania/grafika komputerowa i techniki multimedialne Imię i nazwisko studenta Numer albumu TYTUŁ PRACY Praca inżynierska/magisterska napisana pod kierunkiem... Podpis promotora Łomża.

3

4 SPIS TREŚCI 1. Wstęp Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania Podsumowanie Literatura Spis rysunków Spis tabel Spis załączników

5 1. Wstęp Tytuł rozdziału głównego czcionka: Times New Roman, 16 pkt, Bold; wyrównanie: obustronne; wcięcie specjalne: 0,76 cm; akapit odstępy: przed i po: 24 pt; interlinia: 1,5 wiersza. W ostatnich latach można zaobserwować gwałtowny wzrost zastosowań algorytmów cyfrowego przetwarzania sygnałów. Spowodowane jest to zwiększeniem wydajności procesorów DSP (ang. Digital Signal Processing). Fakt ten pozwala na implementację coraz to bardziej skomplikowanych algorytmów. Szczególną Określenie rolę w odgrywają obcym tu języku układy skrót używanego języka obcego; czcionka: programowalne typu FPGA i CPLD. Układy programowalne Times New pozwalają Roman, na 12 wielokrotne pkt; kursywa. programowanie i testowanie zanim ostateczna wersja zostanie wdrożona do produkcji. Celem pracy jest implementacja algorytmów cyfrowego przetwarzania sygnałów w strukturach programowalnych z wykorzystaniem arytmetyki rozproszonej. Praca podzielona jest na cztery rozdziały zasadnicze. W rozdziale drugim przedstawiono arytmetykę rozproszoną w strukturach FPGA i CPLD. Rozdział trzeci został w całości poświęcony Akapit - czcionka: Times New Roman, 12 pkt; wyrównanie: obustronne; wcięcie specjalne: pierwszy wiersz 1 cm (stałe w całej pracy); akapity nie dłuższe niż pół strony; interlinia: 1,5 wiersza (stałe w całej pracy). 5

6 2. Arytmetyka rozproszona Arytmetyka rozproszona [2, 3] jest znana od ponad dwóch dekad i była intensywnie używana do konstruowania urządzeń liczących, w czasach gdy nie było mikroprocesorów, a jedynie układy logiczne średniej skali integracji. Arytmetyka rozproszona to sprzętowa realizacja algorytmu obliczeniowego wykonującego mnożenie za pomocą wstępnie obliczonej tablicy i sumowanie wyników kolejnych mnożeń. Taki typ obliczeń jest podstawowym dla wielu funkcji z zakresu Cyfrowego Przetwarzania Sygnałów (CPS). Sama idea algorytmu jest bardzo prosta lecz Odwołania jej realizacja do wymaga literatury sporego - nakładu w nawiasach kwadratowych układów logicznych [6]. numery pozycji z bibliografii. Obliczenia realizowane za pomocą AR możemy zapisać w postaci równania: y = k K = 1 A k X k (1) gdzie: y wynik, X k k-ta zmienna, A k stały współczynnik dla k-tej zmiennej, K ilość zmiennych. Wzory matematyczne 1 linia odstępu przed i po wzorze, wzór wyśrodkowany, Równanie tego typu, w technice CPS opisuje np., filtr cyfrowy gdy A k to symbole we wzorze Italic. współczynniki filtru, dyskretna lub szybka transformata Numeracja Fouriera wzorów gdy A k to w wartości nawiasie Oznaczenia do wzorów wyrównanie: okrągłym kolejno zgodnie obustronne; bazowych wcięcia: z funkcji lewej sinus/cosinus. -1 cm, Wygodną i często stosowaną formą reprezentacji z umieszczaniem w pracy, wyrównanie: specjalne: wysunięcie zmiennej X k 1cm; jest postać interlinia: znormalizowana tak aby X do k prawej. < 1 w zapisie uzupełnień do 2-ch ( 1,5 wiersza; standardowa czcionka akapitu (Times standard New dla Roman, stałoprzecinkowych 12 pkt), procesorów ). symbole w oznaczeniach wzorów Italic. X k można przedstawić w postaci równania: X k B 1 k 0 + x kb b (2) b = 1 = x 2 gdzie: x k0, x kb zmienne binarne mogące przyjąć tylko jedną z wartości 0 lub 1, B ilość bitów w słowie. 6

7 . Podstawiając równanie (2) do równania (1) otrzymamy: K B 1 b y = A k x k 0 + x kb 2 (3) k = 1 b = 1 Tak zapisane równanie pozwala na określenie prostego algorytmu jego wyliczenia. Należy zauważyć, że każde wyrażenie w kwadratowym nawiasie ma taki sam skończony zestaw wartości, gdyż zmienne binarne x kb mimo, że należą do różnych słów przyjmują wartość 0 lub 1. Zestaw możliwych wartości to: 0 - gdy wszystkie x kb są zerami (wartość S 0 = słowa o długości równej ilości zmiennych w równaniu), A 1 - gdy x 1b =1 pozostałe są zerami (kolejna wartość S 1 = słowa), A 2 - gdy x 2b =1 pozostałe są zerami (kolejna Wyliczenia, wartość S 2 = wypunktowanie słowa ), A 1 +A 2 - gdy x 1b i x 2b =1 pozostałe są zerami zastosowane ( wartość S 3 = znaki, słowa ustawienie ), w stosunku do lewej krawędzi, itd., aż do A 1 +A A K - gdy wszystkie x kb odstępy =1. muszą być identyczne w całej pracy. Wystarczy wyliczyć wszystkie sumy współczynników (będzie ich 2 B ), wpisać je do pamięci ROM pod adresami takimi jak odpowiadająca danej sumie kombinacja 2.1. Symulacja układu programowalnego Odwołanie do wzoru numer wzoru ujęty w nawiasie okrągłym. Przetestowanie powstałej struktury możliwe jest Tytuł przy podrozdziału użyciu przebiegów czcionka: czasowych. Times New Do tego możemy wykorzystać wbudowane narzędzie Roman, symulacji 14 programu pkt, MAX+PLUSII. Bold; wyrównanie: obustronne; wcięcie specjalne: 1,02 cm; akapit odstępy: przed i po: 12 pt; interlinia: Zawartość pamięci układu pojedynczej kaskady, 1,5 wiersza. które należy wyliczyć przed kompilacją układu przedstawiono w Tabeli 2.1. Odwołanie do tabeli słowo tabela pisane wielką literą. 7

8 Tabela 2.1. Zawartość pamięci układu pojedynczej kaskady Kod wejściowy Zawartość pamięci Wyliczone wartości Input11 a0 a1 a2 a A 4 40h A 3 40h A 3 + A 4 80h A 2 40h A 2 + A 4 Zasady numeracji 80h tabel kolejno zgodnie A 2 + A 3 z umieszczaniem w 80h rozdziale A 2 + A 3 + A 4 120h A 1 Tytuł tabeli nad 40h tabelą, wyśrodkowany, A 1 + A 4 standardowa czcionka 80h akapitu (Times New A 1 + A 3 Roman, 12 pkt). 80h A 1 + A 3 + A 4 120h A 1 + A 2 80h A 1 + A 2 + A 4 120h A 1 + A 2 + A 3 120h A 1 + A 2 + A 3 + A 4 160h A 4-40h A 3-40h ( A 3 + A 4 ) -80h A 2-40h ( A 2 + A 4 ) -80h ( A 2 + A 3 ) -80h ( A 2 + A 3 + A 4 ) -120h A 1-40h ( A 1 + A 4 ) -80h ( A 1 + A 3 ) -80h ( A 1 + A 3 + A 4 ) -120h ( A 1 + A 2 ) -80h ( A 1 + A 2 + A 4 ) -120h ( A 1 + A 2 + A 3 ) -120h (A 1 + A 2 + A 3 + A 4 ) -160h Źródło: Opracowanie własne na podstawie: Xilinx "The Role of Distributed Arithmetic in FPGA-based Signal Processing", application note Źródło czcionka: Times New Roman, 12 pkt; kursywa; wyrównanie: obustronne. 8

9 3. Realizacja algorytmu cyfrowego filtrowania Do realizacji przykładu posłużymy się filtrem 2 rzędu postaci: Odwołanie do rysunku skrót y n) = a x( n) + a x( n 2) b y( n 1) rys. b pisany y( n wielką 2) literą. ( Schemat blokowy filtru IIR działającego z wykorzystaniem arytmetyki rozproszonej ilustruje Rys in[11..0] 12 clk1 w SR clk2 ROM 12x4 clk1 clk1 clk SR control unit SR clk1 clk2 clk3 w 12 2 w clk3-1 add_sub latch ALU out[11..0] 12 clk1 w clk1 SR SR Rys Schemat blokowy filtru Źródło: Opracowanie własne. Zasady numeracji rysunków kolejno zgodnie z umieszczaniem w rozdziale. Tytuł rysunku skrót rys., pod rysunkiem, wyrównanie: wyśrodkowanie, standardowa czcionka akapitu (Times New Roman, 12 pkt). Rysunek wyrównanie: wyśrodkowanie, należy zwracać uwagę na odpowiednie zakotwiczenie rysunku. Źródło czcionka: Times New Roman, 12 pkt; kursywa; wyrównanie: wyśrodkowanie. 9

10 4.... Listing 4.1. Kod programu sortującego całą tablicę po wierszach i kolumnach przedstawia void sortuj2 (Tablica2 t) { int tym[m*n],i,j,k; for (i=0;i<m*n;) { for(j=0;j<n;j++) for(k=0;k<m;k++) tym[i++]=t[j][k]; } for (j=0;j<m*n;j++) for (k=0;k<m*n;k++) if (tym[j]<tym[k]) zamiana(&tym[j],&tym[k]); for (i=0;i<m*n;) { for(j=0;j<n;j++) for(k=0;k<m;k++) } } Przykład kodu źródłowego wyrównanie: obustronne; wcięcia: z lewej -1,75 cm; interlinia: 1 wiersz; standardowa czcionka akapitu (Courier New, 12 pkt). t[j][k]=tym[i++]; Listing 4.1. Sortowanie całej tablicy po wierszach i kolumnach library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity addacc is Port ( wej: in std_logic_vector(7 downto 0); clk: in std_logic; res: in std_logic; wyj: inout std_logic_vector(7 downto 0); przen: out std_logic ); end addacc; architecture akumulator of addacc is begin process (clk,res) begin if res = '1' then 10

11 wyj <= " "; przen <= '0'; elsif (clk = '1' and clk'event) then wyj <= wyj+wej; if (wyj+wej) > " "then przen <= '1'; else przen <= '0'; end if; end if; end process; end akumulator; Listing 4.2. Moduł 8-bitowego sumatora 11

12

13 6. Podsumowanie Celem pracy było... Przeprowadzona w pracy analiza, będąca próbą empirycznej weryfikacji zastosowania arytmetyki rozproszonej do realizacji W badaniach zastosowano nowe podejście do Znaczący wpływ na szybkość pracy wykorzystanych układów programowalnych uzyskano za pomocą

14 Literatura Pozycje w literaturze wyrównanie: obustronne; wcięcia: z lewej -0,5cm, specjalne: wysunięcie 0,63 cm; interlinia: 1,5 wiersza; standardowa czcionka akapitu (Times New Roman, 12 pkt). 1. T. Łuba, K. Jasiński, B. Zbierzchowski, Specjalizowane układy cyfrowe w strukturach PLD i FPG, Wydawnictwo WKŁ, Warszawa S. A. White, Applications of Distributed Arithmetic to Digital Signal Procesing: A Tutorial Review, IEEE ASSP Magazine, July 1989, pp Xilinx, The Role of Distributed Arithmetic in FPGA-based Signal Processing, application note M. Omieljanowicz, A. A. Piotrowski, Procesor potokowy banku cyfrowych filtrów na bazie arytmetyki rozproszonej, IV Krajowa Konferencja KOWBAN '97, październik 1997,Wrocław WNT '97, s L. Mintzer, Large FFT s in Single FPGA, proceedings ICSPAT Altera Corporation. stan z dnia Wzory odwołań do literatury - - książki: I. Nazwisko, Tytuł, Wydawca, Miejsce i rok wydania. - opracowania w publikacjach zwartych: I. Nazwisko, Tytuł opracowania, [w:] Tytuł książki, I. Nazwisko (red.), Wydawca, Miejsce i rok wydania. - artykuły w czasopismach: I. Nazwisko, Tytuł, Tytuł Czasopisma, rok, numer. - strony internetowe: I. Nazwisko (jeśli autor nie jest znany podajemy nazwę organizacji prowadzącej serwis). Witryna internetowa. stan z dnia (data odczytu). 14

15 Spis rysunków Rys Schemat blokowy filtru...9 Spis tabel Tabela 2.1. Zawartość pamięci układu pojedynczej kaskady... 8 Spis załączników Załącznik 1. Wykaz dostępnych układów programowalnych firmy XILINX (stan na 1 lutego 2011 roku) Załącznik 2. Kody źródłowe funkcji implementowanych w struktury programowalne 15

16 Oświadczenie kierującego pracą Oświadczam, że niniejsza praca została przygotowana pod moim kierunkiem i stwierdzam, że spełnia ona warunki do przedstawienia jej w postępowaniu o nadanie tytułu zawodowego. Data.. Podpis kierującego pracą 1. Ja, niżej podpisany/a O Ś W I A D C Z E N I E S T U D E N T A / K I Imię (imiona) i nazwisko autora/ki pracy dyplomowej Numer albumu: Student/ka Instytutu/Wydziału Kierunku/makrokierunku studiów... Oświadczam, że znam Procedurę Antyplagiatową obowiązującą w PWSiIP oraz udzielam nieodpłatnie Państwowej Wyższej Szkole Informatyki i Przedsiębiorczości w Łomży prawa do wprowadzania i przetwarzania w systemie antyplagiatowym pracy dyplomowej mojego autorstwa pt.: Praca dyplomowa pisana pod kierunkiem promotora. Jednocześnie świadomy/a odpowiedzialności prawnej oświadczam, że ww. praca dyplomowa: 1. nie narusza praw autorskich w rozumieniu ustawy z dnia 4 lutego 1994 roku o prawie autorskim i prawach pokrewnych (Dz. U. Nr 24, poz. 83 z późn. zm.) oraz dóbr osobistych chronionych prawem cywilnym, 2. nie zawiera danych i informacji, które uzyskałem w sposób niedozwolony, 3. nie była podstawą nadania tytułu zawodowego ani mojej, ani innej osobie. Świadomy/a odpowiedzialności prawnej oświadczam także, że: 1. treść pracy dyplomowej, zapisanej na przekazanym przeze mnie jednocześnie nośniku elektronicznym, jest zgodna z treścią zawartą w wydrukowanej wersji pracy, przedstawionej w procedurze dyplomowania, 2. zezwalam na nieodpłatne i bezterminowe korzystanie z przedmiotowej pracy dyplomowej w zakresie udostępniania do przeglądów, wystaw i katalogów. Łomża, dn r. (miesiąc słownie) (czytelny podpis studenta/ki)

SPIS TREŚCI. 2. Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania

SPIS TREŚCI. 2. Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania SPIS TREŚCI 1. Wstęp... 5 2. Arytmetyka rozproszona... 6 2.1. Symulacja układu programowalnego... 7 3. Realizacja algorytmu cyfrowego filtrowania... 9 4....... 10 5....... 12 6. Podsumowanie... 13 Literatura...

Bardziej szczegółowo

Rok akademicki 2017/18 Format pracy inż. wzór

Rok akademicki 2017/18 Format pracy inż. wzór Kierunek technologia żywności i żywienie człowieka, I stopień, profil praktyczny Rok akademicki 2017/18 Format pracy inż. wzór Wzór str. 1. Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży

Bardziej szczegółowo

Wyższej Szkoły Przedsiębiorczości i Marketingu w Chrzanowie

Wyższej Szkoły Przedsiębiorczości i Marketingu w Chrzanowie ZASADY PRZYGOTOWANIA PRACY KOŃCOWEJ NA STUDIACH PODYPLOMOWYCH PEDAGOGIKA KWALIFIKACYJNA DLA NAUCZYCIELI PRZEDMIOTÓW ZAWOWOWYCH PROWADZONYCH W RAMACH PROJEKTU "NAUCZYCIEL NA 6+" Wyższej Szkoły Przedsiębiorczości

Bardziej szczegółowo

TYTUŁ PRACY 18 pkt, bold

TYTUŁ PRACY 18 pkt, bold ROZPRAWA DOKTORSKA 16 pkt Tytuł, Imię i Nazwisko Autora 16 pkt TYTUŁ PRACY 18 pkt, bold PROMOTOR: 14 pkt Tytuł, Imię i Nazwisko 14 pkt Warszawa, 2010 12 pkt 2 SPIS TREŚCI 16 pkt, bold STRESZCZENIE... 4

Bardziej szczegółowo

UNIWERSYTET GDAŃSKI WYDZIAŁ EKONOMICZNY

UNIWERSYTET GDAŃSKI WYDZIAŁ EKONOMICZNY UNIWERSYTET GDAŃSKI WYDZIAŁ EKONOMICZNY Imię Nazwisko Kierunek studiów: (EKONOMIA lub MIĘDZYNARODOWE STOSUNKI GOSPODARCZE) Numer albumu: (wpisz nr albumu) TYTUŁ PRACY DYPLOMOWEJ (LICENCJACKIEJ LUB MAGISTERSKIEJ)

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Zasady redakcji pracy dyplomowej w Wyższej Szkole Kultury Fizycznej i Turystyki w Pruszkowie

Zasady redakcji pracy dyplomowej w Wyższej Szkole Kultury Fizycznej i Turystyki w Pruszkowie Zasady redakcji pracy dyplomowej w Wyższej Szkole Kultury Fizycznej i Turystyki w Pruszkowie Prace dyplomowe powinny być drukowane według następujących zaleceń: 1) druk jednostronny dotyczy tylko następujących

Bardziej szczegółowo

AKADEMIA im. JANA DŁUGOSZA w CZĘSTOCHOWIE

AKADEMIA im. JANA DŁUGOSZA w CZĘSTOCHOWIE AKADEMIA im. JANA DŁUGOSZA w CZĘSTOCHOWIE Wydział Matematyczno-Przyrodniczy Kierunek: nazwa kierunku Specjalność: nazwa specjalności JAN KOWALSKI Nr albumu:. TYTUŁ PRACY Praca przygotowana w nazwa zakładu/katedry

Bardziej szczegółowo

UNIWERSYTET GDAŃSKI WYDZIAŁ EKONOMICZNY

UNIWERSYTET GDAŃSKI WYDZIAŁ EKONOMICZNY UNIWERSYTET GDAŃSKI WYDZIAŁ EKONOMICZNY Imię Nazwisko Kierunek studiów: (EKONOMIA lub MIĘDZYNARODOWE STOSUNKI GOSPODARCZE) Numer albumu: (wpisz nr albumu) TYTUŁ PRACY DYPLOMOWEJ (LICENCJACKIEJ LUB MAGISTERSKIEJ)

Bardziej szczegółowo

Wymagania dotyczące pracy dyplomowej

Wymagania dotyczące pracy dyplomowej Wymagania dotyczące pracy dyplomowej Spis treści 1 Wstęp... 2 2 Cel i zakres pracy... 2 3 Wymagania ogólne... 2 3.1 Forma i zawartość pracy... 2 3.2 Dokumenty do złożenia w Dziekanacie... 2 4 Marginesy...

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Wymagania stawiane pracom magisterskim z zakresu zasad edytorskich dla studentów II roku studiów drugiego stopnia w roku akad.

Wymagania stawiane pracom magisterskim z zakresu zasad edytorskich dla studentów II roku studiów drugiego stopnia w roku akad. Wymagania stawiane pracom magisterskim z zakresu zasad edytorskich dla studentów II roku studiów drugiego stopnia w roku akad. 2013/2014 Każda praca magisterska składa się z kilku części, które składają

Bardziej szczegółowo

Zasady zapisu pracy dyplomowej

Zasady zapisu pracy dyplomowej Załącznik do Zasad dyplomowania studentów AH Zasady zapisu pracy dyplomowej 1. Praca ma formę wydruku komputerowego na papierze formatu A4. 2. Strona tytułowa - wg załączonego wzoru. 3. Tekst: wielkość

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WYDZIAŁ INŻYNIERII LĄDOWEJ I GEODEZJI PRACA DYPLOMOWA

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WYDZIAŁ INŻYNIERII LĄDOWEJ I GEODEZJI PRACA DYPLOMOWA WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WYDZIAŁ INŻYNIERII LĄDOWEJ I GEODEZJI PRACA DYPLOMOWA TYTUŁ PRACY DYPLOMOWEJ PISANY WIELKIMI LITERAMI POGRUBIONĄ CZCIONKĄ Autor: Józef NOWAK Promotor

Bardziej szczegółowo

Wymogi stawiane pracom dyplomowym na Wydziale Biznesu, Finansów i Administracji

Wymogi stawiane pracom dyplomowym na Wydziale Biznesu, Finansów i Administracji Wymogi stawiane pracom dyplomowym na Wydziale Biznesu, Finansów i Administracji 1. Wymogi regulaminowe dla prac licencjackich i magisterskich Praca dyplomowa (licencjacka lub magisterska): jest pracą wykonywaną

Bardziej szczegółowo

WSTĘP USTAWIENIA DOKUMENTU NUMERACJA STRON RYSUNKI... REDAKCJA PRAC DYPLOMOWYCH 4. TABELE WPISYWANIE WZORÓW...

WSTĘP USTAWIENIA DOKUMENTU NUMERACJA STRON RYSUNKI... REDAKCJA PRAC DYPLOMOWYCH 4. TABELE WPISYWANIE WZORÓW... AKADEMIA im. JANA DŁUGOSZA w Częstochowie WYDZIAŁ MATEMATYCZNO-PRZYRODNICZY INSTYTUT EDUKACJI TECHNICZNEJ I INFORMATYCZNEJ Kierunek: EDUKACJA TECHNICZNO-INFORMATYCZNA WSTĘP... 1. USTAWIENIA DOKUMENTU...

Bardziej szczegółowo

Instytut Prawa i Administracji Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży

Instytut Prawa i Administracji Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży Instytut Prawa i Administracji Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży Wymogi, dotyczące prac licencjackich i magisterskich Założenia wstępne: 1. Praca licencjacka powinna być

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

PAŃSTWOWA WYŻSZA SZKOŁA ZAWODOWA W SANDOMIERZU (18)

PAŃSTWOWA WYŻSZA SZKOŁA ZAWODOWA W SANDOMIERZU (18) PAŃSTWOWA WYŻSZA SZKOŁA ZAWODOWA W SANDOMIERZU (18) MECHATRONIKA (14) IMIĘ I NAZWISKO (14) Nr albumu:xxxxx (12) Tytuł pracy (16) Praca inżynierska napisana pod kierunkiem naukowym (12) Sandomierz (rok)

Bardziej szczegółowo

WSKAZÓWKI WYDAWNICZE DLA AUTORÓW

WSKAZÓWKI WYDAWNICZE DLA AUTORÓW Załącznik nr 2 do Regulaminu Wydawnictwa WSKAZÓWKI WYDAWNICZE DLA AUTORÓW 1) Komitet Redakcyjny nie przyjmuje prac (wydawnictwo zwarte lub artykuł), które zostały już opublikowane lub też zostały złożone

Bardziej szczegółowo

WYMAGANIA EDYCYJNE DOTYCZĄCE PRAC DYPLOMOWYCH WYKONANYCH W WYDZIALE INŻYNIERII LADOWEJ I GEODEZJI

WYMAGANIA EDYCYJNE DOTYCZĄCE PRAC DYPLOMOWYCH WYKONANYCH W WYDZIALE INŻYNIERII LADOWEJ I GEODEZJI WYMAGANIA EDYCYJNE DOTYCZĄCE PRAC DYPLOMOWYCH WYKONANYCH W WYDZIALE INŻYNIERII LADOWEJ I GEODEZJI 1. Praca dyplomowa ma formę maszynopisu wykonanego za pomocą komputerowego edytora tekstu (Microsoft Word

Bardziej szczegółowo

POLITECHNIKA OPOLSKA Wydział Mechaniczny. Praca Przejściowa Symulacyjna. Projekt nr : Tytuł projektu. Kierunek studiów: Mechatronika

POLITECHNIKA OPOLSKA Wydział Mechaniczny. Praca Przejściowa Symulacyjna. Projekt nr : Tytuł projektu. Kierunek studiów: Mechatronika POLITECHNIKA OPOLSKA Wydział Mechaniczny Praca Przejściowa Symulacyjna Projekt nr : Tytuł projektu. Kierunek studiów: Mechatronika Imię i Nazwisko:... Grupa: MTR 1st ST sem.6 Pnumer_grupy Data złożenia

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

INSTRUKCJA DLA AUTORÓW. INFORMATION FOR AUTHORS (Tłumaczenie tytułu artykułu w języku angielskim.)

INSTRUKCJA DLA AUTORÓW. INFORMATION FOR AUTHORS (Tłumaczenie tytułu artykułu w języku angielskim.) XVII Sympozjum Modelowanie i Symulacja Systemów Pomiarowych 20-24 września 2009r., Krynica INSTRUKCJA DLA AUTORÓW Imię i nazwisko autora(-ów) 1) STRESZCZENIE Niniejsza instrukcja dotyczy sposobu przygotowania

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Instrukcja dla autorów monografii

Instrukcja dla autorów monografii Instrukcja dla autorów monografii SPIS TREŚCI czcionka Times New Roman (dalej: TNR), rozmiar 16 STRESZCZENIE TNR 11... 6 1. WSTĘP... 7 2. ROZDZIAŁ 2... 23 2.1. Podrozdział TNR 11... 36 2.2. Podrozdział

Bardziej szczegółowo

Wymagania dotyczące pracy dyplomowej. Spis treści

Wymagania dotyczące pracy dyplomowej. Spis treści Wymagania dotyczące pracy dyplomowej Spis treści I. Wstęp - wymagania ogólne...błąd! Nie zdefiniowano zakładki. 1.1. Forma i zawartość pracy... 2 1.2. Dokumenty do złożenia w Dziekanacie... 3 II. Marginesy...

Bardziej szczegółowo

INSTYTUT TECHNOLOGII ŻYWNOŚCI Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży ul. Akademicka 14 18-400 Łomża

INSTYTUT TECHNOLOGII ŻYWNOŚCI Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży ul. Akademicka 14 18-400 Łomża INSTYTUT TECHNOLOGII ŻYWNOŚCI Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży ul. Akademicka 14 18-400 Łomża ZASADY PISANIA PRACY INŻYNIERSKIEJ 1. Praca inżynierska powinna mieć charakter

Bardziej szczegółowo

W TYM MIEJSCU NALEŻY WPISAĆ TEMAT PROJEKTU INŻYNIERSKIEGO

W TYM MIEJSCU NALEŻY WPISAĆ TEMAT PROJEKTU INŻYNIERSKIEGO POLITECHNIKA ŚLĄSKA WYDZIAŁ GÓRNICTWA I GEOLOGII Kierunek: Specjalność: Rodzaj studiów: Imię NAZWISKO W TYM MIEJSCU NALEŻY WPISAĆ TEMAT PROJEKTU INŻYNIERSKIEGO PROJEKT INŻYNIERSKI WYKONANY W TU PODAĆ NAZWĘ

Bardziej szczegółowo

Microsoft Office Word ćwiczenie 2

Microsoft Office Word ćwiczenie 2 Microsoft Office Word ćwiczenie 2 Standardy pracy inżynierskiej obowiązujące na Wydziale Inżynierii Środowiska: Egzemplarz redakcyjny pracy dyplomowej: strony pracy powinny mieć format A4, wydruk jednostronny,

Bardziej szczegółowo

Jak powinna wyglądać praca inżynierska? Anna Wojtowicz Częstochowa 2015

Jak powinna wyglądać praca inżynierska? Anna Wojtowicz Częstochowa 2015 Jak powinna wyglądać praca inżynierska? Anna Wojtowicz Częstochowa 2015 Praca dyplomowa na studiach pierwszego stopnia, jako autorskie dzieło dyplomanta powinna potwierdzać jego umiejętność wypowiadania

Bardziej szczegółowo

Spis treści. spis treści wygenerowany automatycznie

Spis treści. spis treści wygenerowany automatycznie Spis treści Rozdział 2.Wymagania edytorskie 2 2.1. Wymagania ogólne 2 2.2. Tytuły rozdziałów i podrozdziałów 2 2.3. Rysunki, tabele i wzory 3 2.3.1. Rysunki 3 2.3.2. Tabele 4 2.3.3. Wzory 4 2.4. Odsyłacze

Bardziej szczegółowo

Propozycja organizacji egzaminu dyplomowego i seminariów inżynierskich na kierunku ochrona środowiska od roku akademickiego 2014/2015

Propozycja organizacji egzaminu dyplomowego i seminariów inżynierskich na kierunku ochrona środowiska od roku akademickiego 2014/2015 Propozycja organizacji egzaminu dyplomowego i seminariów inżynierskich na kierunku ochrona środowiska od roku akademickiego 2014/2015 EGZAMIN egzaminu (dzień 1): odpowiedzi na pytania dotyczące kierunku

Bardziej szczegółowo

Forma, oświadczenia i warunki edytorskie dla pracy dyplomowej składanej w Szkole Głównej Gospodarstwa Wiejskiego w Warszawie.

Forma, oświadczenia i warunki edytorskie dla pracy dyplomowej składanej w Szkole Głównej Gospodarstwa Wiejskiego w Warszawie. Załącznik nr 1 do Wytycznych dotyczących przygotowywania prac dyplomowych w Szkole Głównej Gospodarstwa Wiejskiego w Warszawie Forma, oświadczenia i warunki edytorskie dla pracy dyplomowej składanej w

Bardziej szczegółowo

INSTYTUT TECHNOLOGII ŻYWNOŚCI I GASTRONOMII Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży ul. Akademicka 14 18-400 Łomża

INSTYTUT TECHNOLOGII ŻYWNOŚCI I GASTRONOMII Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży ul. Akademicka 14 18-400 Łomża INSTYTUT TECHNOLOGII ŻYWNOŚCI I GASTRONOMII Państwowa Wyższa Szkoła Informatyki i Przedsiębiorczości w Łomży ul. Akademicka 14 18-400 Łomża ZASADY PISANIA PRACY INŻYNIERSKIEJ 1. Praca inżynierska powinna

Bardziej szczegółowo

W TYM MIEJSCU NALEŻY WPISAĆ TEMAT PRACY DYPLOMOWEJ

W TYM MIEJSCU NALEŻY WPISAĆ TEMAT PRACY DYPLOMOWEJ POLITECHNIKA ŚLĄSKA WYDZIAŁ GÓRNICTWA I GEOLOGII Kierunek: Specjalność: Rodzaj studiów: Imię NAZWISKO W TYM MIEJSCU NALEŻY WPISAĆ TEMAT PRACY DYPLOMOWEJ PRACA DYPLOMOWA MAGISTERSKA WYKONANA W TU PODAĆ

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Tytuł pracy nie dłuższy niż dwulinijkowy

Tytuł pracy nie dłuższy niż dwulinijkowy UNIWERSYTET KAZIMIERZA WIELKIEGO WYDZIAŁ MATEMATYKI FIZYKI i TECHNIKI KATEDRA FIZYKI Imię Nazwisko Tytuł pracy nie dłuższy niż dwulinijkowy Praca licencjacka napisana pod kierunkiem prof. dr hab. Piotra

Bardziej szczegółowo

Szablon i zasady pisana pracy dyplomowej. Aneta Poniszewska-Marańda

Szablon i zasady pisana pracy dyplomowej. Aneta Poniszewska-Marańda Szablon i zasady pisana pracy dyplomowej Aneta Poniszewska-Marańda Spis treści Spis treści powinien zawierać spis wszystkich rozdziałów oraz podrozdziałów wraz z numerami stron, na których się rozpoczynają

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

UCZELNIANY SYSTEM ZAPEWNIENIA JAKOŚCI KSZTAŁCENIA W PAŃSTWOWEJ WYŻSZEJ SZKOLE ZAWODOWEJ W SANDOMIERZU Symbol: USZJK-VII Data: 19.12.2013 r.

UCZELNIANY SYSTEM ZAPEWNIENIA JAKOŚCI KSZTAŁCENIA W PAŃSTWOWEJ WYŻSZEJ SZKOLE ZAWODOWEJ W SANDOMIERZU Symbol: USZJK-VII Data: 19.12.2013 r. PWSZ w Sandomierzu Procedura Zakres procedury: Podmiot odpowiedzialny: Zasady postępowania: Symbol: USZJK-VII Data: 19.12.2013 r. DYPLOMOWANIA Procedura określa zasady przygotowania prac dyplomowych, oceny

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Wymagania edycyjne dla prac dyplomowych realizowanych w Wydziale Techniki Morskiej

Wymagania edycyjne dla prac dyplomowych realizowanych w Wydziale Techniki Morskiej Wymagania edycyjne dla prac dyplomowych realizowanych w Wydziale Techniki Morskiej Układ pracy dyplomowej: 1. Strona tytułowa (wzór strony tytułowej zamieszczony jest na końcu wymagań edycyjnych). 2. Druk

Bardziej szczegółowo

PAŃSTWOWA WYZSZA SZKOŁA ZAWODOWA IM. PROF. STANISŁAWA TARNOWSKIEGO W TARNOBRZEGU WYDZIAŁ NAUK SPOŁECZNYCH I HUMANISTYCZNYCH

PAŃSTWOWA WYZSZA SZKOŁA ZAWODOWA IM. PROF. STANISŁAWA TARNOWSKIEGO W TARNOBRZEGU WYDZIAŁ NAUK SPOŁECZNYCH I HUMANISTYCZNYCH Załącznik nr 11 do Szczegółowych zasad egzaminu dyplomowego (licencjackiego) na kierunku pielęgniarstwo, studia stacjonarne pierwszego stopnia PAŃSTWOWA WYZSZA SZKOŁA ZAWODOWA IM. PROF. STANISŁAWA TARNOWSKIEGO

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Wyciąg z Systemu Jakości Kształcenia dot. zasad pisania i obrony prac dyplomowych (licencjackich i magisterskich)

Wyciąg z Systemu Jakości Kształcenia dot. zasad pisania i obrony prac dyplomowych (licencjackich i magisterskich) Wyciąg z Systemu Jakości Kształcenia dot. zasad pisania i obrony prac dyplomowych (licencjackich i magisterskich) 1. Wyboru promotora dokonuje student przez zapisanie się na odpowiednią listę w Dziekanacie

Bardziej szczegółowo

TYTUŁ PRACY (20) IMIĘ I NAZWISKO (14) I PODTYTUŁY (16) (czcionka Times New Roman; interlinia 1,5 wiersza; tekst pogrubiony, kapitaliki)

TYTUŁ PRACY (20) IMIĘ I NAZWISKO (14) I PODTYTUŁY (16) (czcionka Times New Roman; interlinia 1,5 wiersza; tekst pogrubiony, kapitaliki) Załącznik do Zarządzenia nr 10/2014 z dnia 20 listopada 2014 r. Dziekana Wydziału Nauk Społecznych Uniwersytetu Papieskiego Jana Pawła II w Krakowie UNIWERSYTET PAPIESKI JANA PAWŁA II W KRAKOWIE WYDZIAŁ

Bardziej szczegółowo

Praca licencjacka. Seminarium dyplomowe Zarządzanie przedsiębiorstwem dr Kalina Grzesiuk

Praca licencjacka. Seminarium dyplomowe Zarządzanie przedsiębiorstwem dr Kalina Grzesiuk Praca licencjacka Seminarium dyplomowe Zarządzanie przedsiębiorstwem dr Kalina Grzesiuk 1.Wymagania formalne 1. struktura pracy zawiera: stronę tytułową, spis treści, Wstęp, rozdziały merytoryczne (teoretyczne

Bardziej szczegółowo

Zarządzenie Nr 70 Rektora Uniwersytetu Warmińsko-Mazurskiego w Olsztynie z dnia 18 listopada 2009 roku

Zarządzenie Nr 70 Rektora Uniwersytetu Warmińsko-Mazurskiego w Olsztynie z dnia 18 listopada 2009 roku Zarządzenie Nr 70 Rektora Uniwersytetu Warmińsko-Mazurskiego w Olsztynie z dnia 18 listopada 2009 roku w sprawie procedury antyplagiatowej do weryfikacji samodzielności prac dyplomowych studentów Na podstawie

Bardziej szczegółowo

Uwagi na temat formatowania tekstu referatów konferencji PLOUG

Uwagi na temat formatowania tekstu referatów konferencji PLOUG Uwagi na temat formatowania tekstu referatów konferencji PLOUG Imię i nazwisko autora Abstrakt. Abstrakt artykułu zamieszczanego w materiałach konferencyjnych PLOUG. Abstrakt piszemy stylem Abstract. Styl

Bardziej szczegółowo

Technologie informacyjne. semestr I, studia niestacjonarne I stopnia Elektrotechnika rok akademicki 2013/2014 Pracownia nr 2 dr inż.

Technologie informacyjne. semestr I, studia niestacjonarne I stopnia Elektrotechnika rok akademicki 2013/2014 Pracownia nr 2 dr inż. Technologie informacyjne semestr I, studia niestacjonarne I stopnia Elektrotechnika rok akademicki 2013/2014 Pracownia nr 2 dr inż. Adam Idźkowski Podstawy Informatyki Pracownia nr 3 2 MS WORD 2007 Podstawy

Bardziej szczegółowo

STANDARDY I ZASADY REJESTRACJI PRACY LICENCJACKIEJ NA KIERUNKU NOWE MEDIA W PWSZ W GŁOGOWIE

STANDARDY I ZASADY REJESTRACJI PRACY LICENCJACKIEJ NA KIERUNKU NOWE MEDIA W PWSZ W GŁOGOWIE STANDARDY I ZASADY REJESTRACJI PRACY LICENCJACKIEJ NA KIERUNKU NOWE MEDIA W PWSZ W GŁOGOWIE 1. Pracę licencjacką praktyczną na kierunku nowe media przyjmuje promotor. Przyjęcie pracy poświadcza podpisem

Bardziej szczegółowo

STANDARDY PRACY DYPLOMOWEJ NA WYDZIALE NAUK O ZDROWIU ELBLĄSKIEJ UCZELNI HUMANISTYCZNO -EKONOMICZNEJ

STANDARDY PRACY DYPLOMOWEJ NA WYDZIALE NAUK O ZDROWIU ELBLĄSKIEJ UCZELNI HUMANISTYCZNO -EKONOMICZNEJ Załącznik nr 1 do uchwały RWNoZ z dn. 26.09.2014r. w sprawie standardów pisania prac dyplomowych STANDARDY PRACY DYPLOMOWEJ NA WYDZIALE NAUK O ZDROWIU ELBLĄSKIEJ UCZELNI HUMANISTYCZNO -EKONOMICZNEJ 1.

Bardziej szczegółowo

Wymogi formalne dotyczące prac licencjackich i magisterskich. sformułowanie wniosków wynikających z przeprowadzonych badań.

Wymogi formalne dotyczące prac licencjackich i magisterskich. sformułowanie wniosków wynikających z przeprowadzonych badań. Wymogi formalne dotyczące prac licencjackich i magisterskich Praca powinna zawierać: określenie problemu badawczego, zdefiniowanie celu pracy, charakterystykę przedmiotu badań i opis narzędzi analitycznych

Bardziej szczegółowo

Uwagi dotyczące techniki pisania pracy

Uwagi dotyczące techniki pisania pracy Uwagi dotyczące techniki pisania pracy Każdy rozdział/podrozdział musi posiadać przynajmniej jeden akapit treści. Niedopuszczalne jest tworzenie tytułu rozdziału którego treść zaczyna się kolejnym podrozdziałem.

Bardziej szczegółowo

WYŻSZA SZKOŁA GOSPODARKI I ZARZĄDZANIA W MIELCU. TECHNIKA REDAKCYJNA PRAC LICENCJACKICH Zasady przygotowania i redagowania prac licencjackich.

WYŻSZA SZKOŁA GOSPODARKI I ZARZĄDZANIA W MIELCU. TECHNIKA REDAKCYJNA PRAC LICENCJACKICH Zasady przygotowania i redagowania prac licencjackich. WYŻSZA SZKOŁA GOSPODARKI I ZARZĄDZANIA W MIELCU TECHNIKA REDAKCYJNA PRAC LICENCJACKICH Zasady przygotowania i redagowania prac licencjackich. Mielec, październik 2004 Imię i Nazwisko Wyższa Szkoła Gospodarki

Bardziej szczegółowo

OGÓLNE WYMAGANIA DOTYCZĄCE SPOSOBU PRZYGOTOWANIA PRAC DYPLOMOWYCH (wytyczne dla Studentów)

OGÓLNE WYMAGANIA DOTYCZĄCE SPOSOBU PRZYGOTOWANIA PRAC DYPLOMOWYCH (wytyczne dla Studentów) OGÓLNE WYMAGANIA DOTYCZĄCE SPOSOBU PRZYGOTOWANIA PRAC DYPLOMOWYCH (wytyczne dla Studentów) W pracy dyplomowej należy zachować: Styl całego dokumentu: Styl Standardowy: rodzaj czcionki: Times New Roman

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Akademia Pomorska w Słupsku

Akademia Pomorska w Słupsku WYTYCZNE DOTYCZĄCE PRZYGOTOWANIA PRAC DYPLOMOWYCH W INSTYTUCIE BEZPIECZEŃSTWA NARODOWEGO WYDZIAŁU FILOLOGICZNO-HISTORYCZNEGO AKADEMII POMORSKIEJ W SŁUPSKU WYMAGANIA FORMALNE 1. OGÓLNA KONSTRUKCJA PRACY

Bardziej szczegółowo

WYTYCZNE DOTYCZĄCE PRZYGOTOWANIA PRAC DYPLOMOWYCH Katedra Zarządzania Wydział Nauk o Zarządzaniu i Bezpieczeństwie

WYTYCZNE DOTYCZĄCE PRZYGOTOWANIA PRAC DYPLOMOWYCH Katedra Zarządzania Wydział Nauk o Zarządzaniu i Bezpieczeństwie WYTYCZNE DOTYCZĄCE PRZYGOTOWANIA PRAC DYPLOMOWYCH Katedra Zarządzania Wydział Nauk o Zarządzaniu i Bezpieczeństwie WYMAGANIA FORMALNE 1. OGÓLNA KONSTRUKCJA PRACY Zaleca się następującą strukturę pracy

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Zalecenia edytorskie

Zalecenia edytorskie Zalecenia edytorskie Układ pracy Strona tytułowa Streszczenie Spis oznaczeń opcjonalnie Spis treści 1. Cel/zakres pracy, tezy pracy 2. Wstęp teoretyczny 3. Metoda badawcza zastosowana w pracy, opis układu

Bardziej szczegółowo

AKADEMIA im. JANA DŁUGOSZA W CZĘSTOCHOWIE. Wydział Matematyczno-Przyrodniczy. Imię i Nazwisko. Nr albumu:.

AKADEMIA im. JANA DŁUGOSZA W CZĘSTOCHOWIE. Wydział Matematyczno-Przyrodniczy. Imię i Nazwisko. Nr albumu:. AKADEMIA im. JANA DŁUGOSZA W CZĘSTOCHOWIE Wydział Matematyczno-Przyrodniczy Kierunek: nazwa kierunku Specjalność: nazwa specjalności Imię i Nazwisko Nr albumu:. Tytuł pracy w języku polskim Tytuł pracy

Bardziej szczegółowo

PRACA DYPLOMOWA INŻYNIERSKA (16 pkt)

PRACA DYPLOMOWA INŻYNIERSKA (16 pkt) Politechnika Lubelska Wydział Budownictwa i Architektury (14 pkt) Kierunek: Budownictwo PRACA DYPLOMOWA INŻYNIERSKA (16 pkt) TEMAT PRACY INŻYNIERSKIEJ W JĘZYKU POLSKIM (20PKT, BOLD) TEMAT PRACY INŻYNIERSKIEJ

Bardziej szczegółowo

Temat bardzo mądrego referatu maksymalnie na dwie linijki tekstu

Temat bardzo mądrego referatu maksymalnie na dwie linijki tekstu Tutaj logo szkoły Gimnazjum nr 72 ul. Wysoka 8/12 00-155 Warszawa Temat bardzo mądrego referatu maksymalnie na dwie linijki tekstu Opiekun merytoryczny: Zofia Zatorska Opiekun techniczny: Ewa Kołodziej

Bardziej szczegółowo

Wymogi dotyczące przygotowania prac licencjackich i magisterskich UKŁAD PRACY

Wymogi dotyczące przygotowania prac licencjackich i magisterskich UKŁAD PRACY Radom, 13.10.2014 Wymogi dotyczące przygotowania prac licencjackich i magisterskich UKŁAD PRACY 1. Układ pracy powinien być logiczny i poprawny pod względem metodologicznym oraz odpowiadać wymaganiom stawianym

Bardziej szczegółowo

WSKAZÓWKI WYDAWNICZE DLA AUTORÓW

WSKAZÓWKI WYDAWNICZE DLA AUTORÓW Załącznik nr 2 Wskazówki wydawnicze dla autorów WSKAZÓWKI WYDAWNICZE DLA AUTORÓW 1) Komitet Redakcyjny nie przyjmuje prac (wydawnictwo zwarte lub artykuł), które zostały już opublikowane lub też zostały

Bardziej szczegółowo

Uniwersytet Humanistyczno-Przyrodniczy Jana Kochanowskiego w Kielcach WYDZIAŁ PEDAGOGICZNY I ARTYSTYCZNY Instytut Edukacji Muzycznej

Uniwersytet Humanistyczno-Przyrodniczy Jana Kochanowskiego w Kielcach WYDZIAŁ PEDAGOGICZNY I ARTYSTYCZNY Instytut Edukacji Muzycznej Uniwersytet Humanistyczno-Przyrodniczy Jana Kochanowskiego w Kielcach WYDZIAŁ PEDAGOGICZNY I ARTYSTYCZNY Instytut Edukacji Muzycznej Struktura pisemnej pracy licencjackiej / magisterskiej 1. STRONA TYTUŁOWA

Bardziej szczegółowo

ZALECENIA DOTYCZĄCE ROZPRAWY DOKTORSKIEJ

ZALECENIA DOTYCZĄCE ROZPRAWY DOKTORSKIEJ ZALECENIA DOTYCZĄCE ROZPRAWY DOKTORSKIEJ INSTYTUT MASZYN I URZĄDZEŃ ENERGETYCZNYCH GLIWICE 2017 SPIS TREŚCI Wstęp... 3 1. Wymagania ogólne... 3 1.1. Forma i zawartość pracy... 3 2. Marginesy... 3 3. Krój

Bardziej szczegółowo

ZAMIEJSCOWY WYDZIAŁ EKONOMII W MIELCU IMIĘ I NAZWISKO. TEMAT PRACY LICENCJACKIEJ ( praca licencjacka ) PRACY PROMOTOR TYTUŁ NAUKOWY IMIĘ I NAZWISKO

ZAMIEJSCOWY WYDZIAŁ EKONOMII W MIELCU IMIĘ I NAZWISKO. TEMAT PRACY LICENCJACKIEJ ( praca licencjacka ) PRACY PROMOTOR TYTUŁ NAUKOWY IMIĘ I NAZWISKO WYśSZA SZKOŁA GOSPODARKI I ZARZĄDZANIA W KRAKOWIE ZAMIEJSCOWY WYDZIAŁ EKONOMII W MIELCU Kierunek: Ekonomia Specjalność: Rachunkowość i ubezpieczenia WZÓR IMIĘ I NAZWISKO TEMAT PRACY LICENCJACKIEJ ( praca

Bardziej szczegółowo

Zarządzenie Rektora Wyższej Szkoły Języków Obcych im. Samuela Bogumiła Lindego Nr 05/11/ZR/2014

Zarządzenie Rektora Wyższej Szkoły Języków Obcych im. Samuela Bogumiła Lindego Nr 05/11/ZR/2014 Poznań, dnia 21 listopada 2014 r. Zarządzenie Rektora Wyższej Szkoły Języków Obcych Nr 05/11/ZR/2014 w sprawie ustalenia standardów obowiązujących przy przygotowywaniu pracy dyplomowej Działając na podstawie

Bardziej szczegółowo

ZASADY REDAGOWANIA PRACY LICENCJACKIEJ

ZASADY REDAGOWANIA PRACY LICENCJACKIEJ 1 ZASADY REDAGOWANIA PRACY LICENCJACKIEJ ZASADY OGÓLNE Praca licencjacka pisana jest samodzielnie przez studenta. Format papieru: A4. Objętość pracy: 40-90 stron. Praca drukowana jest dwustronnie. Oprawa:

Bardziej szczegółowo

Załącznik do ZASAD DYPLOMOWANIA WSEiT WYŻSZA SZKOŁA EDUKACJI I TERAPII IM. PROF. KAZIMIERY MILANOWSKIEJ ZAŁĄCZNIKI DO ZASAD DYPLOMOWANIA

Załącznik do ZASAD DYPLOMOWANIA WSEiT WYŻSZA SZKOŁA EDUKACJI I TERAPII IM. PROF. KAZIMIERY MILANOWSKIEJ ZAŁĄCZNIKI DO ZASAD DYPLOMOWANIA WYŻSZA SZKOŁA EDUKACJI I TERAPII IM. PROF. KAZIMIERY MILANOWSKIEJ ZAŁĄCZNIKI DO ZASAD DYPLOMOWANIA Poznań 2018 - WZÓR - (czcionka Times New Roman, rozmiar czcionki w nawiasie) Załącznik do Załącznik nr

Bardziej szczegółowo

STANDARD EDYCYJNY ARTYKUŁU W JĘZYKU POLSKIM Teksty sformatowane wg innych wytycznych nie podlegają rejestracji

STANDARD EDYCYJNY ARTYKUŁU W JĘZYKU POLSKIM Teksty sformatowane wg innych wytycznych nie podlegają rejestracji STANDARD EDYCYJNY ARTYKUŁU W JĘZYKU POLSKIM Teksty sformatowane wg innych wytycznych nie podlegają rejestracji Czcionka: Times New Roman Marginesy: po 2,5 cm Interlinia: 1,5 pkt Autor: Times New Roman

Bardziej szczegółowo

9. Dyskretna transformata Fouriera algorytm FFT

9. Dyskretna transformata Fouriera algorytm FFT Transformata Fouriera ma szerokie zastosowanie w analizie i syntezie układów i systemów elektronicznych, gdyż pozwala na połączenie dwóch sposobów przedstawiania sygnałów reprezentacji w dziedzinie czasu

Bardziej szczegółowo

Wskazówki redakcyjne w procesie pisania prac magisterskich:

Wskazówki redakcyjne w procesie pisania prac magisterskich: Dr hab. Robert Grzeszczak Wskazówki redakcyjne w procesie pisania prac magisterskich: I. Budowa pracy magisterskiej (dyplomowej) struktura pracy powinna mieć następującą kolejność: pierwsza strona strona

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Zarządzenie nr 43 /2016 Rektora Politechniki Warszawskiej z dnia 8 września 2016 r.

POLITECHNIKA WARSZAWSKA Zarządzenie nr 43 /2016 Rektora Politechniki Warszawskiej z dnia 8 września 2016 r. Ujednolicony tekst zarządzenia uwzględnia zmiany wprowadzone zarządzeniem nr 57/2016 Rektora PW POLITECHNIKA WARSZAWSKA Zarządzenie nr 43 /2016 Rektora Politechniki Warszawskiej z dnia 8 września 2016

Bardziej szczegółowo

Wymogi edytorskie dla artykułów przygotowywanych do Zeszytów Naukowych Wyższej Szkoły Zarządzania i Bankowości w Krakowie

Wymogi edytorskie dla artykułów przygotowywanych do Zeszytów Naukowych Wyższej Szkoły Zarządzania i Bankowości w Krakowie Wymogi edytorskie dla artykułów przygotowywanych do Zeszytów Naukowych Wyższej Szkoły Zarządzania i Bankowości w Krakowie 1. Uwagi ogólne Artykuły publikowane w Zeszytach Naukowych WSZiB są recenzowane,

Bardziej szczegółowo

NajwaŜniejsze (wybrane) zasady pisania prac przejściowych

NajwaŜniejsze (wybrane) zasady pisania prac przejściowych NajwaŜniejsze (wybrane) zasady pisania prac przejściowych Plan spotkania 1. Struktura pracy 2. Strona tytułowa 3. Spis treści 4. Wstęp 5. Zasadnicza część pracy 6. Zakończenie / podsumowanie 7. Literatura

Bardziej szczegółowo

Układy reprogramowalne i SoC Specjalizowane moduły FPGA

Układy reprogramowalne i SoC Specjalizowane moduły FPGA Specjalizowane moduły FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój

Bardziej szczegółowo

UWAGI OGÓLNE uisr14@dniradiolokacji.pl

UWAGI OGÓLNE uisr14@dniradiolokacji.pl UWAGI OGÓLNE Zgodnie z informacjami zamieszczonymi na stronie internetowej DNI RADIOLOKACJI 2014 wraz ze zgłoszeniem uczestnictwa w Konferencji UiSR należy przesłać drogą elektroniczną na adres uisr14@dniradiolokacji.pl

Bardziej szczegółowo

Edycja pracy dyplomowej (technicznej, inżynierskiej) Przygotowała: prof. B. Kostek

Edycja pracy dyplomowej (technicznej, inżynierskiej) Przygotowała: prof. B. Kostek Edycja pracy dyplomowej (technicznej, inżynierskiej) Przygotowała: prof. B. Kostek Edycja pracy dyplomowej (technicznej, inżynierskiej) Przygotowała: prof. B. Kostek Informacje dla studentów (Dziekanat)

Bardziej szczegółowo

Minimalne wymagania dotyczące przygotowania pracy w projekcie. NGO Master

Minimalne wymagania dotyczące przygotowania pracy w projekcie. NGO Master Załącznik D do Regulaminu CZŁOWIEK NAJLEPSZA INWESTYCJA Minimalne wymagania dotyczące przygotowania pracy w projekcie NGO Master 1. Zakres tematyczny Praca powinna zawierać co najmniej następujące treści:

Bardziej szczegółowo

Standardy dotyczące prac dyplomowych na Wydziale Humanistyczno-Społecznym ATH

Standardy dotyczące prac dyplomowych na Wydziale Humanistyczno-Społecznym ATH Standardy dotyczące prac dyplomowych na Wydziale Humanistyczno-Społecznym ATH Standardy i zasady zostały opracowane zgodnie z ustawą Prawo o szkolnictwie wyższym z dnia 27 lipca 2005 (Dz. U. nr 164, poz.

Bardziej szczegółowo

STANDARD EDYCYJNY ARTYKUŁU W JĘZYKU POLSKIM Teksty sformatowane wg innych wytycznych nie podlegają rejestracji

STANDARD EDYCYJNY ARTYKUŁU W JĘZYKU POLSKIM Teksty sformatowane wg innych wytycznych nie podlegają rejestracji 1 S t r o n a STANDARD EDYCYJNY ARTYKUŁU W JĘZYKU POLSKIM Teksty sformatowane wg innych wytycznych nie podlegają rejestracji Czcionka: Times New Roman Marginesy: po 2,5 cm Interlinia: 1,5 pkt Autor: Times

Bardziej szczegółowo

SPAWALNICTWO DRÓG SZYNOWYCH

SPAWALNICTWO DRÓG SZYNOWYCH K A R T A Z G Ł O S Z E N I A U C Z E S T N I C T W A w V OGÓLNOPOLSKIEJ KONFERENCJI TECHNICZNEJ Załącznik Nr 1 SPAWALNICTWO DRÓG SZYNOWYCH -CERTYFIKACJA JEST GWARANCJĄ BEZPIECZEŃSTWA? Kraków, 15-17 maja

Bardziej szczegółowo

Załącznik nr 3 do Zasad dyplomowania WZÓR EDYCJI TEKSTU PRACY DYPLOMOWEJ

Załącznik nr 3 do Zasad dyplomowania WZÓR EDYCJI TEKSTU PRACY DYPLOMOWEJ Załącznik nr 3 do Zasad dyplomowania WZÓR EDYCJI TEKSTU PRACY DYPLOMOWEJ Strona 2 z 13 WYŻSZA SZKOŁA BEZPIECZEŃSTWA z siedzibą w Poznaniu WYDZIAŁ (STUDIÓW / NAUK) SPOŁECZNYCH w (siedziba Wydziału) KIERUNEK

Bardziej szczegółowo

Jak pisać pracę dyplomową? Uwagi o formie. Zasady redakcji pracy dyplomowej.

Jak pisać pracę dyplomową? Uwagi o formie. Zasady redakcji pracy dyplomowej. WYŻSZA SZKOŁA TECHNICZNA W KATOWICACH WYDZIAŁ ARCHITEKTURY BUDOWNICTWA I SZTUK STOSOWANYCH KATEDRA BUDOWNICTWA WYKŁADOWCA :DR INŻ.KRZYSZTOF MICHALIK Jak pisać pracę dyplomową? Uwagi o formie. Zasady redakcji

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

REGULAMIN DOTYCZĄCY KRYTERIÓW I ZASAD PRZYGOTOWYWANIA PRAC DYPLOMOWYCH (LICENCJACKICH I MAGISTERSKICH) W AKADEMII WYCHOWANIA FIZYCZNEGO W POZNANIU

REGULAMIN DOTYCZĄCY KRYTERIÓW I ZASAD PRZYGOTOWYWANIA PRAC DYPLOMOWYCH (LICENCJACKICH I MAGISTERSKICH) W AKADEMII WYCHOWANIA FIZYCZNEGO W POZNANIU Zatwierdzony przez Radę Zamiejscowego Wydziału KF w dniu 24.01.2012 r. REGULAMIN DOTYCZĄCY KRYTERIÓW I ZASAD PRZYGOTOWYWANIA PRAC DYPLOMOWYCH (LICENCJACKICH I MAGISTERSKICH) W AKADEMII WYCHOWANIA FIZYCZNEGO

Bardziej szczegółowo

UWAGI OGÓLNE. autor nie zgadza się na wprowadzenie wszystkich koniecznych poprawek zaproponowanych przez Kolegium Redakcyjne lub redakcję,

UWAGI OGÓLNE. autor nie zgadza się na wprowadzenie wszystkich koniecznych poprawek zaproponowanych przez Kolegium Redakcyjne lub redakcję, UWAGI OGÓLNE Termin nadsyłania pełnych treści referatów zgłoszonych na Konferencję Naukowo- Techniczną Automatyzacja Dowodzenia upływa 31 sierpnia 2016 r. Treści referatów należy przesyłać na adres: andrzej.katcki@pitradwar.com.

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

WSKAZÓWKI DLA AUTORÓW REFERATÓW

WSKAZÓWKI DLA AUTORÓW REFERATÓW WSKAZÓWKI DLA AUTORÓW REFERATÓW Spis treści: I. Wymogi formalne... 2 II. WZÓR... 3 III. Bibliografia... 4 IV. Streszczenie... 5 V. Cytaty i przypisy... 6 VI. Tabele, rysunki, wzory... 7 1 I. Wymogi formalne

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

KONFERENCJA NAUKOWO TECHNICZNA WARSZTAT PRACY RZECZOZNAWCY BUDOWLANEGO. Wytyczne do materiałów konferencyjnych

KONFERENCJA NAUKOWO TECHNICZNA WARSZTAT PRACY RZECZOZNAWCY BUDOWLANEGO. Wytyczne do materiałów konferencyjnych XIII KONFERENCJA NAUKOWO TECHNICZNA Wytyczne do materiałów konferencyjnych Informacje organizacyjne: Referaty zamawiane - objętość do 20 stron Referaty zgłaszane - objętość do 10 stron Prace w formacie

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo