PROCEDURY REGULACYJNE STEROWNIKÓW PROGRAMOWALNYCH (PLC)



Podobne dokumenty
Podstawy Automatyki. Wykład 6 - Miejsce i rola regulatora w układzie regulacji. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Laboratorium elektryczne. Falowniki i przekształtniki - I (E 14)

Automatyka i sterowania

Regulator PID w sterownikach programowalnych GE Fanuc

1. POJĘCIA PODSTAWOWE I RODZAJE UKŁADÓW AUTOMATYKI

Regulator PID w sterownikach programowalnych GE Fanuc

Regulatory o działaniu ciągłym P, I, PI, PD, PID

1. Regulatory ciągłe liniowe.

Obiekt. Obiekt sterowania obiekt, który realizuje proces (zaplanowany).

REGULATOR PI W SIŁOWNIKU 2XI

Automatyka i Regulacja Automatyczna Laboratorium Zagadnienia Seria II

Automatyka i pomiary wielkości fizykochemicznych. Instrukcja do ćwiczenia VI Dobór nastaw regulatora typu PID metodą Zieglera-Nicholsa.

Ćwiczenie nr 3 Układy sterowania w torze otwartym i zamkniętym

Podstawy Automatyki. Wykład 9 - Dobór regulatorów. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Sposoby przekazywania parametrów w metodach.

INSTRUKCJA Regulacja PID, badanie stabilności układów automatyki

Podstawy automatyki i robotyki AREW001 Wykład 2 Układy regulacji i regulatory

Elementy pneumatyczne

Spis treści. Dzień 1. I Elementy układu automatycznej regulacji (wersja 1109) II Rodzaje regulatorów i struktur regulacji (wersja 1109)

Automatyzacja. Ćwiczenie 9. Transformata Laplace a sygnałów w układach automatycznej regulacji

Komputerowa Ksiga Podatkowa Wersja 11.4 ZAKOCZENIE ROKU

Podstawy Automatyki. Wykład 7 - Jakość układu regulacji. Dobór nastaw regulatorów PID. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Dla naszego obiektu ciągłego: przy czasie próbkowania T p =2.

Regulator P (proporcjonalny)

Planowanie adresacji IP dla przedsibiorstwa.

Automatyka w inżynierii środowiska. Wykład 1

Układ regulacji ze sprzężeniem zwrotnym: - układ regulacji kaskadowej - układ regulacji stosunku

Automatyka i sterowanie w gazownictwie. Regulatory w układach regulacji

Zasilanie urzdze elektronicznych laboratorium IV rok Elektronika Morska

Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE)

ELEMENTY REGULATORÓW ELEKTRYCZNYCH (A 4)

Politechnika Warszawska Wydział Samochodów i Maszyn Roboczych Instytut Podstaw Budowy Maszyn Zakład Mechaniki

MODELOWANIE I PROGRAMOWANIE PRACY

W Y B R A N E P R O B L E M Y I N Y N I E R S K I E ALGORYTM STEROWANIA ADAPTACYJNEGO HYBRYDOWEGO POJAZU KOŁOWEGO

Dyskretyzacja sygnałów cigłych.

Identyfikacja obiektu i optymalizacja nastaw w Standard PID Control

Politechnika Warszawska Wydział Samochodów i Maszyn Roboczych Instytut Podstaw Budowy Maszyn Zakład Mechaniki

JANEX INTERNATIONAL Sp z O.O Warszawa, ul. Płomyka 2 Tel. (022) INSTRUKCJA OBSŁUGI

System midzybankowej informacji gospodarczej Dokumenty Zastrzeone MIG DZ ver Aplikacja WWW ver. 2.1 Instrukcja Obsługi

4. Właściwości eksploatacyjne układów regulacji Wprowadzenie. Hs () Ys () Ws () Es () Go () s. Vs ()

AUTOMATYCZNE I ZDALNE STEROWANIE STACJ UZDATNIANIA WODY

WYJCIOWE WYMAGANIA Bdce podstaw do przygotowania oferty. ul. Kociuszki Radziejów tel , faks

Politechnika Warszawska Wydział Samochodów i Maszyn Roboczych Instytut Podstaw Budowy Maszyn Zakład Mechaniki

Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1. Instrukcja obsługi

Rys1 Rys 2 1. metoda analityczna. Rys 3 Oznaczamy prdy i spadki napi jak na powyszym rysunku. Moemy zapisa: (dla wzłów A i B)

Regulacja prędkości posuwu belki na prowadnicach pionowych przy wykorzystaniu sterownika Versa Max

Badanie wpływu parametrów korektora na własności dynamiczne układu regulacji automatycznej Ćwiczenia Laboratoryjne Podstawy Automatyki i Automatyzacji

POBÓR MOCY MASZYN I URZDZE ODLEWNICZYCH

UWAGA 2. Wszystkie wyniki zapisywać na dysku Dane E: (dotyczy symulacji i pomiarów rzeczywistych)

REGULATORY W UKŁADACH REGULACJI AUTOMATYCZNEJ

Rys1. Schemat blokowy uk adu. Napi cie wyj ciowe czujnika [mv]

stopie szaro ci piksela ( x, y)

Politechnika Warszawska Instytut Automatyki i Robotyki. Prof. dr hab. inż. Jan Maciej Kościelny PODSTAWY AUTOMATYKI

PL0B %..0% 2..10V

Podstawy Automatyki. Wykład 7 - obiekty regulacji. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

WYKŁAD 4 PLAN WYKŁADU. Sieci neuronowe: Algorytmy uczenia & Dalsze zastosowania. Metody uczenia sieci: Zastosowania

Temat: Algorytmy zachłanne

Instrukcja obsługi programu Pilot PS 5rc

WYDZIAŁ ELEKTRYCZNY KATEDRA AUTOMATYKI I ELEKTRONIKI. Badanie układu regulacji dwustawnej

PRZEWODNIK PO PRZEDMIOCIE

Inteligentnych Systemów Sterowania

Badanie kaskadowego układu regulacji na przykładzie serwomechanizmu

Dobór parametrów regulatora - symulacja komputerowa. Najprostszy układ automatycznej regulacji można przedstawić za pomocą

Instrukcja do ćwiczenia 6 REGULACJA TRÓJPOŁOŻENIOWA

Układy sterowania: a) otwarty, b) zamknięty w układzie zamkniętym, czyli w układzie z ujemnym sprzężeniem zwrotnym (układzie regulacji automatycznej)

Eugeniusz ZIÓŁKOWSKI 1 Wydział Odlewnictwa AGH, Kraków

Temat: Technika zachłanna. Przykłady zastosowania. Własno wyboru zachłannego i optymalnej podstruktury.

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Metody Informatyczne w Budownictwie Metoda Elementów Skoczonych ZADANIE NR 1

WYJCIOWE WYMAGANIA Bdce podstaw do przygotowania oferty. ul. Kociuszki Radziejów tel , faks

SUPLEMENT SM-BOSS WERSJA 6.15

Implementacja rozmytych systemów wnioskujących w zdaniach regulacji

Urządzenia automatyki przemysłowej Kod przedmiotu

(Wszystkie wyniki zapisywać na dysku Dane E:)

(13)B3 (12) OPIS PATENTOWY (19) PL (11)

Dobór typu regulatora i jego nastaw w procesie syntezy układu regulacji automatycznej Ćwiczenia Laboratoryjne Podstawy Automatyki i Robotyki

Silnik prądu stałego (NI Elvis 2) Dobieranie nastaw regulatorów P, PI, PID. Filtr przeciwnasyceniowy Anti-windup.

Obwody sprzone magnetycznie.

Problem decyzyjny naley do klasy NP. (Polynomial), jeeli moe by rozwizany w czasie conajwyej wielomianowym przez algorytm A dla DTM.

Izolacja Anteny szerokopasmowe i wskopasmowe

Wzorcowy załcznik techniczny, do umowy w sprawie przesyłania faktur elektronicznych pomidzy Firm A oraz Firm B

PRZEWODNIK PO PRZEDMIOCIE

Twoja instrukcja użytkownika PHILIPS JR32RWDVK

WYKŁAD 10. Wzorce projektowe czynnociowe Command Strategy

Rys. 1 Otwarty układ regulacji

Bazy danych. Plan wykładu. Zalenoci funkcyjne. Wykład 4: Relacyjny model danych - zalenoci funkcyjne. SQL - podzapytania A B

11. Dobór rodzaju, algorytmu i nastaw regulatora

4. UKŁADY II RZĘDU. STABILNOŚĆ. Podstawowe wzory. Układ II rzędu ze sprzężeniem zwrotnym Standardowy schemat. Transmitancja układu zamkniętego

Poprawa efektywnoci metody wstecznej propagacji bdu. Jacek Bartman

INSTRUKCJA WYPEŁNIENIA KARTY PROJEKTU W KONKURSIE NA NAJLEPSZY PROJEKT

Laboratorium z podstaw automatyki

PODSTAWY AUTOMATYKI I MIERNICTWA PRZEMYSŁOWEGO Laboratorium 3 Regulatory PID i ich strojenie, Regulacja dwupołożeniowa

II. STEROWANIE I REGULACJA AUTOMATYCZNA

Ćw. S-III.4 ELEMENTY ANALIZY I SYNTEZY UAR (Dobór nastaw regulatora)

ROZPORZDZENIE KOMISJI (WE) NR 69/2001. z dnia 12 stycznia 2001 r.

Program SMS4 Monitor

Ćwiczenie PA6. Badanie działania regulatora PID zaimplementowanego w sterowniku S firmy Siemens

SIMATIC S Regulator PID w sterowaniu procesami. dr inż. Damian Cetnarowicz. Plan wykładu. I n t e l i g e n t n e s y s t e m y z e

Bazy danych. Plan wykładu. Metody organizacji pliku rekordów. Pojcie indeksu. Wykład 11: Indeksy. Pojcie indeksu - rodzaje indeksów


Transkrypt:

PROCEDURY REGULACYJNE STEROWNIKÓW PROGRAMOWALNYCH (PLC) W dotychczasowych systemach automatyki przemysłowej algorytm PID był realizowany przez osobny regulator sprztowy - analogowy lub mikroprocesorowy. W systemach automatyki ze sterownikami programowalnymi jest to na ogół specjalna uniwersalna procedura, która musi by właciwie sparametryzowana w programie uytkowym. Dla właciwego wykorzystania jej moliwoci dobrze jest pamita, e znajomo wartoci parametrów dla podstawowego schematu blokowego przedstawionego poniej nie wystarcza do właciwego skonfigurowania regulatora. Przy praktycznym wykorzystaniu powyszej ogólnej struktury PID naley uwzgldni dodatkowo nastpujce zagadnienia: 1) wpływ okresu próbkowania na nastawy algorytmu PID, 2) wybór formy algorytmu (pozycyjny, prdkociowy) w zalenoci od rodzaju mechanizmu wykonawczego, 3) wprowadzenie ograniczenia na sygnał wyjciowy regulatora z jednoczesnym modyfikowaniem działania czci całkujcej, 4) uycie filtru formujcego warto zadan bd modyfikacja struktury regulatora, 5) regulacja z kompensacj zakłóce. Oprogramowanie sterowników programowalnych rónych producentów na ogół pozwala na uwzgldnianie wspomnianych zagadnie przy parametryzowaniu procedur regulacyjnych wybranego systemu sterowników. Dyskretyzacja algorytmu PID Algorytm PID w komputerowych systemach sterowania musi by realizowany przez szczególn posta regulatora, która moe by nazwana regulatorem cyfrowym przedstawionym na rys.2. Cech charakterystyczn takiego regulatora jest praca ze stałym okresem próbkowania Tp. Układ próbkowania sygnału wyjciowego obiektu regulacji na podstawie sygnału y(t) wyznacza cig wartoci dyskretnych y(k). Algorytm regulacji na podstawie cigu wartoci dyskretnych uchybu regulacji e(k) = yzd(k) - y(k) okrela cig dyskretnych wartoci sygnału sterujcego u(k) dla k = 0, 1, 2, 3,... Na wyjciu regulatora cyfrowego znajduje si układ ekstrapolacji, który na podstawie tego cigu wartoci dyskretnych wypracowuje sygnał sterujcy obiektem u(t) okrelony dla kadej chwili czasu t.

Dyskretyzacja algorytmu regulacji PID (1) polega na wprowadzeniu dyskretnych wartoci sygnału uchybu regulatora oraz zastpieniu całki sum, a pochodnej - rónic pierwszego rzdu (2) Oznaczajc (3) i przyjmujc - dla struktury równoległej otrzymujemy po przekształceniach lub (4) (5) - dla struktury szeregowej otrzymujemy po przekształceniach gdzie: (6)

! " Struktura równoległa realizuje wyłcznie tzw. posta pozycyjn algorytmu PID przydatn do zastosowania tam, gdzie mechanizm wykonawczy sterowany z regulatora ma charakter wzmacniacza którego sygnał wyjciowy jest proporcjonalnego do sygnału wyjciowego regulatora u(k). Struktura szeregowa moe by natomiast stosowana w dwóch postaciach - pozycyjnej i prdkociowej, zalenie od tego czy sygnałem wyjciowym procedury regulacyjnej jest sygnał u(k) czy jedynie przyrost tego sygnału tzn. u(k). Posta prdkociowa algorytmu PID przydatna jest tam, gdzie mechanizm wykonawczy sterowany z regulatora ma charakter członu całkujcego (np. siłownik bez pozycjonera). Naley zwróci uwag, e jeli dla dyskretnego algorytmu PID sygnał wyjciowy regulatora bdzie odtwarzany przy pomocy przetwornika cyfrowo-analogowego (co oznacza tzw. ekstrapolacj zerowego rzdu) to jego nastawy poza wartociami Kp, Ti, Td musz uwzgldnia aktualny okres próbkowania Tp. Poniewa w praktyce przy dobieraniu nastaw regulatorów wygodnie jest korzysta z bogatych dowiadcze dotyczcych strojenia regulatorów cigłych, naley koniecznie o tym pamita jeeli działanie regulatora cyfrowego ma by w pełni równowane działaniu analogicznego regulatora cigłego. Nastawy regulatora cyfrowego Kp, Ti, Td dobrane jak dla regulatora cigłego nie spowoduj wikszych błdów jeeli okres próbkowania bdzie co najmniej o rzd mniejszy od najmniejszej stałej czasowej obiektu. Modyfikowanie działania czci całkujcej Wiadomo, e w dobrych regulatorach PID ich działanie powinno by modyfikowane, gdy sygnał wyjciowy regulatora osiga poziom ograniczenia. Podane wyej struktury nie nadaj si w takiej postaci do bezporedniego zastosowania w praktyce, gdy przy wystpieniu zewntrznego ograniczenia sygnału wyjciowego regulatora u(k) nie chroni przed niepodanym zjawiskiem nadmiernego wzrostu wartoci sygnałów wewntrznych zwizanych z całkowaniem (ang. windup efect), przyczyniajc si do dłuszego ni potrzeba pozostawania sygnału sterujcego na ograniczeniu i wywołujc przez to niepodane przeregulowanie w przebiegu sygnału wyjciowego

obiektu. Jednym ze sposobów ograniczenia szkodliwych skutków tego zjawiska jest zwykłe zatrzymywanie działania czci całkujcej regulatora PID w chwili, gdy jego sygnał wyjciowy osiga ograniczenie. W przypadku struktur analogowych moliwe s take inne sposoby oparte na nieliniowym ujemnym sprzeniu zwrotnym wokół regulatora. Dla regulatorów cyfrowych moliwe jest jednak dokładne rozwizanie tego problemu. Sposób uniknicia efektu "win-dup" w omawianych strukturach podali Glattfelder, Schaufelberger i Tödtli. Dla struktury równoległej algorytm jest nastpujcy: krok 1: oraz: nastpnie: krok 2: Jeeli (7) krok 3: Jeeli krok 4: krok 5: Powrót do kroku 1. Algorytmowi temu odpowiada schemat blokowy: # " " $

Dla struktury szeregowej algorytm jest nastpujcy: krok 1: krok 2: Jeeli krok 3: Jeeli (8) krok 4: krok 5: Powrót do kroku 1. Algorytmowi temu odpowiada schemat blokowy: % " " " $ Podane wyej struktury zapewniaj prawidłow prac regulatora PID przy duych zakłóceniach lub zmianach wartoci zadanej w szerokich granicach. Wprowadzanie zwykłego ograniczenia na sygnał wyjciowy regulatora (bez dodatkowego sprzenia zwrotnego) jest niewskazane, jeeli w regulatorze wykorzystywana jest cz całkujca. Formowanie wartoci zdanej Podstawowym zadaniem regulatora jest minimalizacja błdu regulacji okrelanego jako rónica midzy wartoci zadan a wartoci regulowan Przyjmowanie, e warto zadana yzd(t) moe zmieni si skokowo jest wymaganiem zbyt rygorystycznym w układach praktycznych, gdy ze wzgldu na zawsze wystpujce ograniczenia sygnałów sterujcych, dla rzeczywistych obiektów regulacji nie jest moliwe osignicie dowolnie krótkiego czasu reakcji. Skoro tak, to zakładajc pewien dopuszczalny dla danego układu regulacji czas reakcji mona zmienia warto zadan nie skokowo, lecz z ograniczeniem jej szybkoci zmian. Oznacza to wprowadzenie na wejcie regulatora członu stanowicego rodzaj filtru formujcego warto zadan. Filtr ten powinien mie posta przedstawion na rys.7 lub by członem inercyjnym pierwszego rzdu ze stał czasow ok. piciokrotnie mniejsz od podanego czasu regulacji. Dla zakłóce wystpujcych w obiekcie regulacji przeciwdziałanie regulatora pozostaje niezmienione. Uycie filtru wartoci zadanej celowe jest jedynie w przypadku układów regulacji stałowartociowej (9)

(stabilizacji) lub programowej; nie naley go uywa w układach regulacji nadnej. Prawidłowo dobrany filtr wartoci zadanej pozwala w prosty sposób unikn szkodliwych przeregulowa w odpowiedzi skokowej zamknitego układu regulacji. &' $" ( ")* +, $" Zamiast filtru wartoci zadanej mona zastosowa zmian struktury regulatora polegajc na tym, e błd regulacji zawierajcy informacj o sygnale wartoci zadanej jest przetwarzany tylko przez cz regulatora, za pozostała cz przetwarza jedynie sygnał wyjciowy obiektu (rys.8). Na przykład w przypadku regulatora PI cz A powinna by całkujca, za cz B - proporcjonalna. Natomiast w przypadku regulatora PD cz A powinna by proporcjonalna, za cz B - róniczkujca. Struktur przedstawion na powyszym rysunku mona równie interpretowa nastpujco: cz B stanowi układ korekcyjnego ujemnego sprzenia zwrotnego wokół obiektu regulacji, za cz A jest właciwym regulatorem dobieranym do współpracy z obiektem skorygowanym dynamicznie. Kompensacja zakłóce oddziałujcych na obiekt W wielu układach regulacji skuteczno działania regulatora moe zosta poprawiona jeli istnieje moliwo pomiaru wartoci sygnału zakłócajcego prac obiektu. Zastosowa mona wtedy układ kompensacji wpływu tego zakłócenia na obiekt poprzez dodanie do sygnału wyjciowego regulatora dodatkowego sygnału kompensujcego ze znakiem przeciwnym do znaku oddziaływania zakłócenia na obiekt. Ide układu zamknitego z kompensacj zakłócenia przedstawiono na rys. 9, przy załoeniu, e obiekt mona podzieli na dwie czci - jedn wstpn, o transmitancji GO1(s), przed miejscem oddziaływania zakłócenia i drug kocow o transmitancji GO2(s), midzy miejscem oddziaływania zakłócenia a wyjciem. Mona uwaa, e człon o transmitancji GK(s) stanowi rodzaj drugiego regulatora działajcego ze specyficznym sprzeniem "w przód" (ang. feedforward control).

-. "* +" Jak łatwo sprawdzi, transformata składowej błdu regulacji wywołanego zakłóceniem wyraa si wzorem (10) Jeeli moliwe jest dobranie w torze kompensacji transmitancji (11) to wpływ zakłócenia na prac układu zamknitego zostanie całkowicie wyeliminowany, a regulator GR(s) wystarczy dobra do pozostałej czci obiektu o prostszej transmitancji GO2(s). W bardzo wielu przypadkach wystarczy, e regulator (11) kompensujcy zakłócenie bdzie regulatorem typu P lub PD. Sygnał kompensujcy zakłócenie powinien by dodawany do sygnału wyjciowego regulatora przed blokiem realizujcym ograniczenie sygnału wypadkowego. Zakoczenie Procedury regulacyjne sterowników programowalnych w coraz wikszym stopniu oferuj gotowe struktury realizujce przedstawione wyej zadania. Uytkownik powinien jednak wiedzie jak naley je prawidłowo wykorzysta aby uzyska podany efekt. Przy dobieraniu odpowiednich parametrów pozwalajcych uruchomi tak uniwersaln procedur regulatora PID naley wiedzie: - czy przy wprowadzaniu czasu zdwojenia i czasu wyprzedzenia uwzgldniono ju konkretny okres próbkowania, - jak w danej procedurze działa ograniczenie sygnału wyjciowego regulatora, - czy uywana procedura jest algorytmem pozycyjnym czy prdkociowym, - jak w danej procedurze realizowa filtracj wartoci zadanej, - jak w danej procedurze mona zrealizowa kompensacj zakłócenia.