Układy logiczne. Instytut Automatyki

Wielkość: px
Rozpocząć pokaz od strony:

Download "Układy logiczne. Instytut Automatyki"

Transkrypt

1 Układy logiczne Instytut Automatyki

2 Wiadomość, informacja Wiadomość i informacja są podstawowymi pojęciami informatyki. Znaczenie obu pojęć na gruncie informatyki nie całkowicie pokrywa się z potocznym ich rozumieniem. Czynnikiem decydującym w relacji wiadomość - informacja jest zatem sposób interpretacji uzgodniony pomiędzy nadającym i odbierającym wiadomość, czyli pewien abstrakcyjny krok polegający na określeniu przekształcenia wiadomości na informację

3 Dla wiadomości przekazywanych pomiędzy ludźmi istnieje najczęściej umowa co do formy tego przekazu. O takich wiadomościach mówimy, że są przekazywane w formie językowej, czyli tworzą język. Przedstawienie wiadomości na twardym nośniku nazywamy pismem, a sam nośnik - nośnikiem pisma. Przebieg w czasie wielkości fizycznej transmitującej wiadomości (a zatem również oddającej informacje) nazywa się sygnałem

4 Mówimy, że sygnał jest znakowy (digital), jeżeli parametry sygnału mogą przyjmować skończoną liczbę wartości. Wiadomości znakowe są to wiadomości przesyłane za pomocą sygnałów znakowych. Regułą przekształcenia zbioru znaków na inny zbiór znaków (albo zbiór słów) nazywamy kodem

5 Wiadomości nieznakowe, jak na przykład wiadomości w postaci obrazu, mapy, krzywej diagramu, mogą być dyskretyzowane i w ten sposób rozważane jako wiadomości znakowe. Jeżeli przyjmie się założenie, że wszystkie znaki i słowa są zakodowane dwójkowo (tzn. Przyjmują tylko dwie wartości np. 0 i 1) to układ, który służy do przetwarzania takich wiadomości nazywa się układem cyfrowym.

6 Podział układów cyfrowych elementy logiczne bloki funkcjonalne zespoły funkcjonalne Podział ze względu na strukturę układy specjalizowane układy programowalne

7 Podział ze względu na właściwości układy kombinacyjne układy sekwencyjne

8 Dla dwóch argumentów x i y mamy cztery możliwe kombinacje argumentów: x y

9 Jeżeli f i (x) będzie funkcją logiczną jednego argumentu x to można określić co najwyżej cztery takie funkcje.

10 Spośród funkcji dwuargumentowych f(x,y) najważniejszymi są: Nazwa Oznaczenie Wartość funkcji gdy (x,y) równa się (0,0) (0,1) (1,0) (1,1) suma, dysjunkcja x+y, x y iloczyn, konjunkcja xy, x y

11 Funkcje boolowskie spełniają następujące aksjomaty: l.p. Nazwa aksjomatu Aksjomaty dotycz ące dodawania mno żenia 1 prawo łączności (A+B)+C=A+(B+C) (AB)C=A(BC) 2 prawo przemienności A+B=B+C AB=BC 3 prawo istnienia jednego elementu identycznościowego A+0=A A* 1=A 4 prawo dope łnienia A + A = 1 A A = 0

12 Podstawowe tożsamości

13 Ogólny zapis liczby dziesiętnej przedstawia poniższy zapis: n L 10 =a n Ka 2 a 1 a = 0 i=0 a i 10 i a 0,9> =

14 W liczbach ułamkowych podstawa występuje w potęgach ujemnych, a zatem zapis liczby dziesiętnej ma postać: n 10 n l i i = l L = a a a a, a a a = a 10 i L = b n b 2 b b 1 0,b 1 b 2 b l = i= n l b i P i gdzie: P oznacza dowolną podstawę

15 Dla P=2 otrzymujemy system dwójkowy (binarny) = czyli: = 13 10

16 Najbardziej rozpowszechnionymi kodami dwójkowymi są: kod naturalny dwójkowy, kod Grey'a, kod dwójkowo-dziesiętny BCD (ang. Binary Coded Decimial), kod pierścieniowy czyli kod 1 z 10 należący do grupy kodów 1 z n kod pseudopierścieniowy Johnsona,

17 Kod dwójkowy naturalny Kod dwójkowy naturalny jest kodem wagowym, w którym poszczególne wagi są kolejnymi potęgami liczby 2 (tzn. 2 i, gdzie i=0,1,2,3...). Istnieje wiele sposobów konwersji liczb z systemu dziesiętnego do dwójkowego i odwrotnie.

18 Konw ersja liczby dwójkow ej na dziesiętną. Dla kodów wagowych najprostsza metoda polega na sumowaniu albo wydzielaniu wag. Przykład: Należy zamienić liczbę binarną na liczbę dziesiętną = 1* * * * *2 0 = = 25 10

19 Konwersja liczby dziesiętnej na dw ójkow ą. Konwersja liczby dziesiętnej na dwójkową polega na wyszukiwaniu najwyższej potęgi liczby 2. Przykład: Należy zamienić liczbę dziesiętną na liczbę binarną. Największą potęgą liczby 2 jest tutaj 2 4 = 16 a zatem, liczba dwójkowa będzie miała 5 bitów i na najstarszym bicie piszemy 1???? 2. Od liczby = 11. W 11 najstarszą potęgą 2 jest 2 3. Zatem na następnym bicie piszemy 1 i uzyskujemy 11??? 2.. Następna operacja to: 11-8 = 3. Dla 3 najstarszą potęgą 2 jest 2 1. Ponieważ nie wystąpiła tutaj druga potęga liczby 2, to na drugiej pozycji piszemy 0, i otrzymujemy 110?? 2, natomiast na czwartej pozycji piszemy 1 ponieważ wystąpiła pierwsza potęga liczby 2, 1101? 2. Po odjęciu 3-2 = 1, gdzie 1 jest potęgą zerową liczby 2, bo 2 0 = 1. W ostateczności otrzymujemy liczbę dwójkową

20 Należy zamienić liczbę na liczbę dwójkową: Reszta 11 : 2 = 5 1 najmłodszy bit 5 : 2 = : 2 = : 2 = =

21 Kod szesnastkowy Kod szesnastkowy (heksadecymalny) jest kodem wagowym, w którym poszczególne wagi są kolejnymi potęgami liczby 16 (tzn. 16 i, gdzie i=0,1,2,3...). Aby ułatwić zapis w kodzie heksadecymalnym wprowadzono następującą regułę. Dla liczb od 10 do 15 stosuje się odpowiedni zapis literowy: 10 - A, 11 - B, 12 - C, 13 - D, 14 - E, 15 - F.

22 Zamiana kodu dwójkowego na kod sze snastkowy Przejście pomiędzy kodem dwójkowym a heksadecymalnym polega na pogrupowaniu zapisu dwójkowego w grupy czterobitowe i zapisaniu ich wartości wykorzystując liczby z zakresu Należy zamienić zapis liczby dwójkowej na zapis szesnastkowy kod dwójkowy (binarny) kod szesnastkowy (heksadecymalny) 6 C E =6CE 16

23 Kod Grey'a Cechą charakterystyczną kodu Grey'a jest to, że sąsiadujące kombinacje kodowe różnią się wartościami tylko jednego bitu. Tablicę kodu Grey'a można utworzyć na podstawie tablicy kodu dwójkowego naturalnego posługując się następującą regułą: G = B B = B B + B B i i i + 1 i i + 1 i i + 1 gdzie: G i - i-ty bit kodu Grey'a, B i - i-ty bit kodu binarnego, B i+1 - i+1 bit kodu binarnego.

24 linia lustra linia lustra linia lustra

25 Kod dwójkowo dziesiętny BCD Kod dwójkowo dziesiętny jest odmianą kodu dwójkowego naturalnego gdzie każdej cyfrze dziesiętnej przyporządkowywuje się liczbę binarną. Nie następuje tutaj kodowanie całej liczby, a kodowana jest każda cyfra oddzielnie

26 Kod pseudopierścieniowy

27 Kod pierścieniowy

28 Tablica kodu dwójkowego z bitem parzystości

29 Tablica kodu dwa z pięciu

30 Sposoby zapisu funkcji Opis słowny Tablica wartości Wykres czasowy Zbiór wartości zmiennych funkcji Tablica Karnaugha Zapis algebraiczny funkcji

31 Opis słowny Założenia dotyczące działania układu najczęściej są podawane w postaci opisu słownego. Opis taki musi jednoznacznie określić przypadki, w których sygnały wyjściowe mają wartość 0 lub 1. Przykładem poprawnego opisu może być zdanie: zaprojektować układ o trzech wejściach x 1, x 2, x 3, wyróżniający sygnałem y=1 w przypadku, gdy na wejściu pojawi się liczba dwójkowa nieparzysta lub podzielna przez 3 (x 3 odpowiada pozycji najmniej znaczącej).

32 Tablica wartości funkcji Numer zbioru Wartość zmiennych Wartość funkcji i x 1 x 2 x 3 f(x 1,x 2,x 3)

33 Tablica funkcji niepełnej (niezupełnej, nie w pełni określonej), Numer zbioru Wartość zmiennych Wartość funkcji i x 1 x 2 f(x 1,x 2 )

34 Wykres czasowy Wykres czasowy dla funkcji niepełnej

35 Zbiór wartości zmiennych funkcji Numer zbioru Wartość zmiennych Wartość funkcji i x 1 x 2 x 3 f(x 1,x 2,x 3)

36 Do jednoznacznego określenia funkcji wystarczy podać zbiór wartości zmiennych funkcji oznaczany: F 1 dla którego funkcja przyjmuje wartość 1, lub zbiór F 0 dla którego funkcja przyjmuje wartość 0. 1 { } F = 010,011,101 x x x { } F = 000,001,100,110,111 x x x 1 2 3

37 1 { } F = 2,3,5 x x x { } F = 0,1,4,6,7 x x x F = { 4,5,6} x x x (-) F = { 2,4,6,(0,1) } x x x 1 2 3

38 Tablica Karnaugha f(x, x, x ) 1 2 3

39 Zapis algebraiczny funkcji f(x, x, x ) = x x + x x x

40 Kanoniczna postać funkcji boolowskiej f(x 1, x,, x ) = x f ( 1, x,, x ) + x f ( 0, x,, x ) 2 n 1 2 n 1 2 n f(x 1, x,, x ) = [ x + f ( 0, x,, x )][ x + f ( 1, x,, x )] 2 n 1 2 n 1 2 n

41 f ( x 1, x 2,K, x n )=x 1 x 2 f (1,1, x 3, K, x n )+x 1 x f (1,0, x 3, K, x n )+ 2 +x x 2 f (0,1, x 3, K, x n )+x x f (0,0, x 3, K, x n ) f ( x 1, x 2, K, x n )=[ x 1 + x 2 + f (0,0, x 3, K, x n )][ x 1 + x + f (0,1, x 3, K, x n )] 2 [ x + x 2 + f (1,0, x 3, K, x n )][ x + x + f (1,1, x 3, K, x n )] 1 1 2

42 f(x 1, x,, x ) = x x x f ( 1, 1, 1,, 1 ) + x x x x f ( 1, 1, 2 n 1 2 n 1 2 n 1 n + + x x x f ( 1, 0,, 0 ) + x x x f ( 0, 0, 1 2 n 1 2 n f(x 1, x,, x ) = [ x + x + + x + f ( 0, 0, 0,, 0 )] 2 n 1 2 [ x + x + + x + x + f ( 0, 0,, 0, 1 )] [ x + x + + x + f ( 0, 1,, 1 )] [ x + x + + x + f ( 1, 1,, 1 )] n 1 2 n n n n

43 f(x 1,x 2,..., x n ) = 2 n -1 i= 0 m f(a i i ) gdzie: i - numer kombinacji sygnałów wejściowych a 1 a 2 a 3 i3 m = x i1 x i2 x x i ain n = n j= 1 x aij j a ij x j = x j x j gdy a ij = gdy a ij = 1 0 dla dla j = j = 1,2,3,,n 1,2,3,,n j- numer sygnału wejściowego f( a ) = i 1 jeżeli f ( x1, x 2,, x n ) = 1 dla i - tej kombinacji sygnałów wejściowych 0 jeżeli f ( x, x,, x n ) = dla i - tej kombinacji sygnałów wejściowych Powyższy zapis nosi nazwę kanonicznej postaci sumy funkcji boolowskiej. Inny zapis postaci kanonicznej sumy: 1 f (x1,x 2,,x n ) = i F 1 m i m i - implikant

44 f(x 1 gdzie:, x 2,..., x n ) = 2 n ƛ -1 i= 0 (M i + f(a i )) i - numer kombinacji sygnałów wejściowych m = x + x + x + + x = x i a i 1 1 a i 2 2 a i 3 3 a in n n j= 1 a ij j x aij j = x x j j gdy gdy a a ij ij = = 1 0 dla dla j = j = 1,2,3,,n 1,2,3,,n j- numer sygnału wejściowego f( a ) = i 1 jeżeli f( x1, x 2,, x n ) = 1 dla i - tej kombinacji sygnałów wejściowych 0 jeżeli f( x, x,, x n ) = dla i - tej kombinacji sygnałów wejściowych Powyższy zapis nosi nazwę kanonicznej postaci iloczynu funkcji boolowskiej. Inny zapis postaci kanonicznej sumy: f 0 (x, x 1 2,, x n ) = i F 0 M i M i - implicent

45 f(x 1,x 2,..., x n 2 n -1 ) = m f(a ) f(x, x,..., x ) = (M + f(a )) i= 0 i i 1 2 n 2 n ƛ -1 i= 0 i i gdzie: i - numer kombinacji sygnałów wejściowych gdzie: i - numer kombinacji sygnałów wejściowych a 1 a 2 a 3 a n n i3 ij m = x i1 x i2 x x in = x m = x + x + x + + x = x i j= 1 a j i a i 1 1 a i 2 2 a i 3 3 n ain n j= 1 aij j a ij x j = x j x j gdy a ij = gdy a ij = 1 0 dla dla j = j = 1,2,3,,n 1,2,3,,n x aij j = x x j j gdy gdy a a ij ij = = 1 0 dla dla j = j = 1,2,3,,n 1,2,3,,n j- numer sygnału wejściowego j- numer sygnału wejściowego f( a ) = i 1 jeżeli f( x1, x 2,, x n ) = 1 dla i - tej kombinacji sygnałów wejściowych 0 jeżeli f( x, x,, x n ) = dla i - tej kombinacji sygnałów wejściowych f( a ) = i 1 jeżeli f( x1, x 2,, x n ) = 1 dla i - tej kombinacji sygnałów wejściowych 0 jeżeli f( x, x,, x n ) = dla i - tej kombinacji sygnałów wejściowych Powyższy zapis nosi nazwę kanonicznej postaci sumy funkcji boolowskiej. Inny zapis postaci kanonicznej sumy: Powyższy zapis nosi nazwę kanonicznej postaci iloczynu funkcji boolowskiej. Inny zapis postaci kanonicznej sumy: 1 0 f (x1,x 2,,xn ) = mi f (x1, x2,, xn ) = i F 1 i F 0 M i m i - implikant M i - implicent

46 1 { } F = 010,011,101 x x x F= X1 X2 X3+ X1 X2 X3+ X1 X2 X3 0 { } F = 000,001,100,110,111 x x x F=( X1+ X2+ X3) ( X1+ X2+ X3) ( X1+ X2+ X3) ( X1+ X2+ X3) ( X1+ X2+ X3)

47 Sposoby minimalizacji funkcji boolowskich metoda przekształceń algebraicznych metoda tablic Karnaugha, metoda Quine a - Mc Cluskeya, metoda tablic harwardzkich, metoda Patricka, metoda Blake a.

48 Metoda tablic Karnaugha Należy wyznaczyć minimalną postać funkcji, która jest opisana w następujący sposób. W pomieszczeniu znajdują się trzy czujniki pożarowe a, b, c. Przy sygnale równym 1 z dowolnego czujnika należy uruchomić sygnalizację pożaru (f 1 = 1), zaś przy sygnale 1 z co najmniej dwóch czujników należy uruchomić urządzenie gaśnicze (f 2 = 1).

49 Etap I Przygotowanie tablicy dla danej liczby zmiennych i wpisanie w pola elementarne wartości funkcji. W pola gdzie funkcja jest nieokreślona wpisywany jest znak -. Na podstawie przedstawionego opisu tworzona jest tablica Karnaugha dla funkcji f 1 i f 2 lub dla obu funkcji. f 1 f 2 f 1 f 2

50 Etap II Należy zakreślić (czyli narysować obwiednie) możliwie największe obszary obejmujące jedynki lub zera. Jeżeli zakreślane będą jedynki to tworzona będzie minimalna postać sumy, natomiast jeżeli zakreślane będą zera, to tworzona będzie minimalna postać iloczynu. Dzięki opisowi tablicy przy pomocy kodu Grey'a dwa sąsiednie pola tablicy różnią się stanem jednego sygnału wejściowego. Gdy w obu polach znajdują się te same wartości funkcji, to można zastosować twierdzenie o sklejaniu funkcji boolowskich.

51 Rysowanie obwiedni następuje według następujących zasad: liczba pól elementarnych połączonych ze sobą musi być potęgą dwójki; łączone pola muszą być sąsiednimi tzn. dzielonymi od siebie linią pionową, linią poziomą lub krawędzią tablicy; łączone pola muszą mieć kształt symetryczny względem swych osi; jeżeli w tablicy występują znaki nieokreśloności, to pola elementarne, w których występuje ten znak można łączyć z jedynkami lub zerami w zależności od potrzeb; argument zmieniający wartość w ramach wybranej grupy jest odrzucany; podczas wyłączania jedynek z tablicy realizowana jest funkcja suma iloczynów, a zatem rezultat upraszczania będzie iloczynem, przy czym symbolowi 0 odpowiada x, a symbolowi 1 - x; podczas wyłączania zer z tablicy realizowana jest funkcja iloczyn sum, a zatem rezultat upraszczania będzie sumą, przy czym symbolowi 0 odpowiada x, a symbolowi 1 - x

52 f 1 1 =a+b+c

53 f 2 1 =ab+ac+bc

54 f 1 0 = a + b + c f = (a + b)(a + c)(b + c) 2 0 Łatwo można wykazać, że f 1 1 = f 1 0 oraz f = f

55 Jeżeli podczas zakreślania obszarów wartości zmiennych określających obszar są inne niż zaznaczane wartości funkcji, (np. podczas zaznaczania 1 element funkcji ma 0), to jest on zapisywany jako sygnał zanegowany. Przedstawione to zostało na poniższym przykładzie. f 0 = ac + ab + bc f 1 = ( a + b )( a + c )( b + c )

56 Metoda Quine'a - Mc Cluskeya Przy większej ilości zmiennych, metoda tablic Karnaugha staje się bardzo uciążliwa. W takich przypadkach wygodniej jest stosować metodę Quine'a - Mc Cluskeya Metoda Quine a Algorytm minimalizacji Quine a polega na stosowaniu dwóch operacji: sklejania niepełnego Ax + Ax = Ax + Ax + A pochłaniania A + Ax = A + Ax = A

57 Po wykonaniu wszystkich niepełnych sklejeń a następnie wszystkie możliwe pochłaniania to uzyskuje się tzw. postać skróconą. Można to wyjaśnić na poniższym przykładzie. Gdyby do funkcji: y = x x x + x x x + x x x + x x x zastosować regułę sklejania zwykłego to uzyskałoby się funkcje: y x x x x x x x x =

58 Po zastosowaniu reguły niepełnego sklejania otrzymanoby funkcję: y = x x + x x + x x + x + 1x x + x x x + x x x x x a po zastosowaniu reguły pochłaniania postać funkcji byłaby następująca: y = x + x + x x x x

59 Implikant - funkcji f to taka funkcja g (tych samych argumentów), że dla wszystkich kombinacji wartości argumentów, jeśli g =1, to i f =1; Implikant prosty - to iloczyn G (zmiennych x), który jest implikantem i który, zmniejszony o dowolną zmienną przestaje być implikantem. Skoro każda funkcja może być przedstawiona w postaci kanonicznej sumy, obejmującej wszystkie kombinacje, dla których f=1, to może też być przedstawiona w postaci sumy wszystkich prostych implikantów, które z pewnością pokrywają wszystkie jedynki funkcji. Postać skrócona uzyskana poprzez stosowanie algorytmu Quine a, jest sumą wszystkich prostych implikantów danej funkcji, gdyż zawiera wszystkie nieskracalne iloczyny pochłaniające postać kanoniczną.

60 Poprzez usuniecie wszystkich zbędnych prostych implikantów otrzymuje się tzw. postać minimalną. Upraszczanie postaci skróconej można przeprowadzić kilkoma różnymi sposobami i wówczas uzyskuje się tzw. postacie końcowe (nieredukowalne), z których jedna jest postacią minimalną. Poszukiwania minimalnego zbioru prostych implikantów, których suma jest równa funkcji, dokonuje się zwykle za pomocą tzw. tablic implikantów.

61 y = x x x + x x x + x x x + x x x + x x x + x x x y=x 1 x 3 +x 1 x 2 + x 2 x 3 + x 1 x +x 2 x +x x 3

62 Składniki sumy K i x x x x x x x x x x x x x x x x x x x x 1 3 X X proste x x 1 2 X X impli- x x 2 3 X X kanty x x 1 2 X X G i x x 2 3 X X x 1 x 3 X X

63 y = x x + x x + x x y = x x + x x + x x Implicient - funkcji f to taka funkcja h (tych samych argumentów), że dla wszystkich kombinacji wartości argumentów, jeśli h =0, to i f =0; Implicient prosty - to suma H (zmiennych x), który jest implicientem i który, zmniejszony o dowolną zmienną przestaje być implicientem.

64 Metoda Mc Cluskey a 1. Wszystkie pełne iloczyny wpisuje się w formie kolumny liczb binarnych, pisząc 0 zamiast x i oraz 1 zamiast x i. 2. Drugą kolumnę tworzy się z liczb pierwszej kolumny, dzieląc je na grupy indeksowe. W pierwszym wierszu wypisuje się liczbę złożoną z samych zer, następnie z liczb zawierających jedną jedynkę, następnie - liczby zawierające dwie jedynki itd. Poszczególne grupy wyraźnie rozdziela się. 3. Trzecia kolumna powstaje z rezultatów sklejeń liczb kolumny drugiej według poniższych zasad: nowe grupy tworzy się poprzez sklejanie liczby należących do sąsiednich grup; sklejane liczby mogą się różnić tylko na jednej pozycji, np. sklejenie (101) z (111) daje w wyniku liczbę (1-1). Sklejone wyrażenia należy zaznaczyć; każde wyrażenie można sklejać dowolną liczbę razy; należy wykorzystać wszystkie możliwości sklejeń;

65 4. Następne kolumny powstają z poprzednich przy zachowaniu tych samych zasad co w pkt. 2. Wyrażenia sąsiednie muszą mieć kreski na tych samych pozycjach, np. z (11-0) i (10-0) otrzymuje się (1--0). Tworzenie nowych kolumn kończy się w przypadku, gdy nie można już wykonać żadnych sklejeń. 5. Wyrażenia z ostatniej kolumny zamienia się na postać literową poprzez operacje odwrotną niż w pkt Tworzy się tablicę implikantów i wybiera się wszystkie postacie nieredukowalne. 7. Spośród postaci nieredukowalnych wybiera się postać minimalną

66 y = x x x x + x x x x + x x x x + x x x x + x x x x x x x x + x x x x + x x x x + x x x x , 0100, 0101, 0110, 0111, 1000, 1001, 1100, 1101

67

68 y = x 3 x4 + x 1 x2 + x2 x 3 + x1 x 3

69 * X X X X 01--* X X X X -10- X X X X 1-0-* X X X X y x x x x x x =

70 Minimalizacja funkcji niepełnych Przy minimalizacji funkcji niepełnych etapy 1-5 wykonuje się jak dla funkcji pełnych, z tym że oprócz składników postaci kanonicznej do działań włącza się również kombinacje odpowiadające nieokreślonej wartości funkcji. Tablicę implikantów buduje się tylko dla składników obowiązujących.

71 Wykorzystanie zapisu dziesiętnego składników postaci kanonicznej funkcji 1. Określić indeksy poszczególnych liczb opisujących postać kanoniczną iloczynu lub sumy i wypisuje się kolumnę z podziałem na grupy o jednakowych indeksach. Indeksy określają ilość jedynek w odpowiedniej liczbie binarnej. Liczby odpowiadające pozycjom nieokreślonym są traktowane tak jak pozostałe; 2. Druga kolumna powstaje z pierwszej w wyniku sklejania, przy czym obowiązują następujące zasady: skleja się liczby należące do sąsiednich grup; sklejane liczby muszą się różnić o 2k (k=0,1,2...); liczby można sklejać tylko wtedy gdy liczba z grupy o większym indeksie jest większa; wynik sklejania a z b zapisuje się w postaci a,b (c) - przy czym c jest różnicą między a i b; każda liczba może być sklejana dowolną ilość razy; należy wyczerpać wszystkie możliwości sklejeń; wyniki sklejeń dzieli się na grupy, jak poprzednio, a wyrażenia powtarzające się nie są wypisywane.

72 3. Następne kolumny powstają z poprzednich przy zachowaniu tych samych zasad. Dodatkowo różnice umieszczone w nawiasach sklejanych wyrażeń muszą być jednakowe, a wynik ma w nawiasie nie jedną, lecz kilka różnic. Na przykład 1,3 (2) i 5,7 (2) dają 1,3,5,7 (2,4), natomiast 1,3 (2) z 5,9 (4) nie skleja się. 4. Wyrażenia, których nie udało się skleić, odpowiadają prostym implikantom (implicentom) funkcji. Tworzy się z nich tablicę i ruguje wyrażenia zbędne, wybierając postacie minimalne. 5. Wyrażenia nieredukowalne zamienia się na postać binarną a następnie literową, zgodnie z następującymi zasadami: wypisuje się w postaci binarnej pierwszą liczbę wchodzącą w skład implikantu (implicentu); na pozycjach, których waga równa jest podanym w nawiasie różnicom, pisze się kreskę (-); poszczególnym pozycjom zero-jedynkowym przypisuje się odpowiednie litery.

73 f ( x, x, x, x ) = [0, 1, 2, 4, 5, 10, 12, (8, 14)] indeksy

74 ,1 (1) 0, 1, 4,5 (1,4) ,2 (2) 0, 2, 8,10 (2,8) ,4 (4) 0, 4, 8,12 (4,8) ,8 (8) 8,10,12,14 (2,4) ,5 (4) ,10 (8) ,5 (1) ,12 (8) ,10 (2) 8,12 (4) 10,14 (4) 12,14 (2)

75

76 0,1,4, 5 (1,4) 0, 1, 4, 5 (1,4) 0,2,8,10 (2,8) lub 0, 2, 8,10 (2,8) 0,4,8,12 (4,8) 8,10,12,14 (2,4) lub y = x 1 x 3 + x 2 x 4 + x 3 x 4 lub y = x 1 x 3 + x 2 x 4 + x1 x 4.

77 Minimalizacja funkcji silnie nieokreślonych 1. Buduje się tablicę, której wiersze odpowiadają składnikom sumy F 1 a kolumny składnikom iloczynu F 0 (lub odwrotnie) wpisanym w postaci zero-jedynkowej; 2. W tablicę wpisuje się liczby dziesiętne, odpowiadające pozycjom, na których symbol wiersza i kolumny różnią się; 3. Dla każdego wiersza (każdej kolumny) wypisuje się minimalne zbiory takich liczb, które występują we wszystkich kolumnach rozpatrywanego wiersza (lub we wszystkich wierszach rozpatrywanej kolumny);

78 4. Jeżeli wśród wypisanych zbiorów minimalnych są zbiory o mniejszej (niż w pozostałych) liczbie liter, należy sprawdzić, czy nie można ich zastąpić innymi zbiorami spośród wypisanych; 5. Ponieważ minimalne zbiory określają te pozycje wyrażenia zero-jedynkowego, które nie mogą być zastąpione kreskami, wybiera się spośród wypisanych zbiorów taka rodzinę by obejmowała wszystkie elementy F 1 lub F 0.

79 6. Na podstawie uzyskanych liczb dziesiętnych odtwarza się uproszczoną postać zero-jedynkową wypisując tylko te pozycje, które wchodzą w skład zbiorów wyróżnionych a pozostałe zastępując kreskami, Z tej postaci przechodzi się do postaci literowej wg zasad opisanych poprzednio.

80 F = F =

81

82 F 2, , min = lub Fmin = 2, , 7 F min 1 = 1 lub Fmin 1 = y = x x + x + x x lub y = x x + x + x x

83 Zbiory minimalne dla postaci minimalnej iloczynu to: 1;2,6-4,7 5;4,7-4,7-2,6; 3,6 W miejsce zbioru (1) można wstawić zbiór (2,6) a w miejsce (5) - (4,7). 2,6-4,7-4,7-4,7-2,6 0 1 = F min ( ) ( ) y = x + x x + x

84 Funktory układów cyfrowych Układy cyfrowe dzieli się na dwie podstawowe grupy: 1. układy kombinacyjne 2. układy sekwencyjne

85

86 Funktory układów kombinacyjnych - bramki Nazwa Symbol Realizowana funkcja Negacja, NOT a Suma, OR a + b Negacja sumy, NOR a + b Iloczyn, NAD a b Negacja iloczynu, NAND a b Suma modulo, EX-OR a b

87 Podstawowe funktory układów sekwencyjnych - przerzutniki

88 Przerzutnik typu RS Tablica przejść Symbol S R Q t Q t

89 Przerzutnik typu JK Tablica przejść Symbol J K Q t Q t Q t

90 Przerzutnik typu D Tablica przejść Symbol D Q t

91 Przerzutnik typu T Tablica przejść Symbol T Q t+1 0 Q t 1 Q t

92 Typowe podzespoły układów cyfrowych Rejestry Liczniki Bloki arytmetyczne Komparatory Multipleksery Demultipleksery Konwertery kodów Pamięci

93 Rejestry Rejestrem nazywamy układ służący do przechowywania informacji

94 szeregowe - szeregowe wprowadzanie i wyprowadzanie informacji, tzn. kolejno bit po bicie; równoległe - równoległe wprowadzanie i wyprowadzanie informacji jednocześnie do wszystkich i ze wszystkich pozycji rejestru;

95 szeregowo-równoległe - szeregowe wprowadzanie i równoległe wyprowadzanie informacji; równoległo-szeregowe - równoległe wprowadzanie i szeregowe wyprowadzanie informacji.

96 Rejestry szeregowe charakteryzują się możliwością przesuwania wprowadzonej informacji bądź w prawo albo w lewo (rejestry przesuwające jednokierunkowe), bądź też zarówno w prawo, jak i w lewo (rejestry przesuwające dwukierunkowe, rewersyjne). SR

97 Liczniki Licznikiem nazywany jest sekwencyjny układ cyfrowy służący do zliczania i pamiętania liczby impulsów podawanych w określonym przedziale czasu na jego wejście zliczające.

98 Liczniki podlegają następującym kryteriom podziału: pod względem długości cyklu: liczniki o stałej długości cyklu liczniki o zmiennej długości cyklu pod względem kierunku zliczania: liczniki jednokierunkowe zliczające w przód zliczające w tył liczniki dwukierunkowe pod względem sposobu oddziaływania impulsów zliczanych na stan przerzutników licznika: liczniki asynchroniczne liczniki synchroniczne liczniki asynchroniczno - synchroniczne

99 Bloki arytmetyczne Podstawowym układem arytmetycznym jest sumator, którego zadaniem jest dodawanie lub odejmowanie liczb. Odejmowanie liczb jest możliwe w tzw. kodzie uzupełnień do dwóch

100 Blokiem arytmetycznym może być również tzw. rejestr przesuwający. Przesuwanie liczb binarnych w lewo (w kierunku starszego bitu) lub w prawo (w kierunku młodszego bitu) jest równoznaczne z mnożeniem lub z dzieleniem przez kolejne potęgi liczby 2. Do realizacji mnożenia liczb są również wykorzystywane tzw. multiplikatory. Uniwersalne, programowalne układy realizujące szereg operacji arytmetycznych oraz szereg funkcji logicznych są nazywane jednostkami arytmetyczno - logicznymi.

101 Komparatory Komparatory służą do porównywania wartości dwu lub więcej liczb dwójkowych.

102 Multipleksery Multiplekser (inaczej selektor) wybiera jeden sygnał spośród wielu sygnałów wejściowych.

103 Demultipleksery Demultiplekser (inaczej kolektor) kieruje sygnał na jedną z wielu możliwych dróg.

104 Konwertery kodów Konwertery kodów służą do zamiany liczby podanej w jednym kodzie na inny kod. Konwersji można dokonać tylko wówczas gdy liczba słów kodowych w obu kodach jest identyczna, natomiast długość słów obydwu kodów nie musi być identyczna.

105 Można wyróżnić trzy rodzaje konwerterów kodów: enkoder - jest to układ w którym kodem wejściowym jest kod pierścieniowy (jeden z "n"); enkoder priorytetowy - jest to układ w którym kodem dekoder - wejściowym jest kod x z n oraz jest ustalony priorytet poszczególnych wejść. jest to układ w którym kodem wyjściowym jest kod pierścieniowy (jeden z "n"); transkoder - jest to układ w którym kodem wejściowym i wyjściowym jest dowolny kod dwójkowy inny niż pierścieniowy

106 Pamięci Pamięci - układy służące do przechowywania ciągów cyfr binarnych są nazywane pamięciami. Z punktu wykonywanej funkcji pamięci można podzielić na dwie klasy: pamięci z zapisem i odczytem tzw. pamięci RAM (Random Acces Memory); pamięci stałe, tylko z odczytem tzw. pamięci ROM (Read Only Memory).

107

108 Jako pamięci mogą być wykorzystywane następujące układy: przerzutniki: rejestry; układy pamięciowe scalone; pamięci ferrytowe; matryce diodowe

109 Synteza układów cyfrowych Po przeprowadzeniu minimalizacji funkcji wykorzystując tablicę Karnaugha, należy przystąpić do budowy układu realizującego otrzymaną funkcję. Do budowy układu można wykorzystywać układy stykowe, negacje, bramki AND, OR, negacje, NAND, NOR, multipleksery

110 Dla układów stykowych przyjmowana jest następująca zasada: sygnał prosty jest realizowany jako styk normalnie rozwarty; sygnał zanegowany jest realizowany jako styk normalnie zwarty;

111 suma sygnałów jest realizowana jako połączenie równoległe styków; a a+b b iloczyn sygnałów jest realizowany jako połączenie szeregowe styków; a b ab

112 Budowa układu przy wykorzystaniu układu stykowego, bramek AND i OR Mając funkcję przedstawioną jako minimalną postać normalną sumy lub iloczynu można bezpośrednio przejść do budowy układu składającego się z układów stykowych lub bramek AND i OR. Otrzymano następujące funkcje: f 11 = a+b+c, f 21 = ab+ac+bc, f 20 = (a+b)(a+c)(b+c).

113 Układ stykowy: c b a a+b+c Układ stykowy realizujący funkcję f 11

114 a b a c b c ab+ac+bc Układ stykowy realizujący funkcję f 21

115 a b a c b c (a+b)(a+c)(b+c) Układ stykowy realizujący funkcję f 20

116 a b c Układ bramek OR realizujący funkcję f 11

117 a b a c b c ab ac bc ab+ac+bc Układ bramek AND i OR realizujący funkcję f 21

118 a b a c b c (a+b) (a+c) (b+c) (a+b)(a+c)(b+c) Układ bramek AND i OR realizujący funkcję f 20

119 Dana jest funkcja a f = a + cb b c a+bc Układ bramek AND, OR realizujący funkcję f a b a+bc c Układ bramek AND, OR i negacji realizujący funkcję f

120 Budowa układu przy wykorzystaniu bramek NAND lub NOR Na podstawie wzoru funkcji określającej jej minimalną postać sumy (iloczynu) można zaprojektować układ zbudowany z elementów NAND (NOR). Układ tak zaprojektowany będzie składał się z trzech poziomów. Poziom I (licząc od wejść układu) zawiera układy które negują sygnały wejściowe. Poziom II realizuje poszczególne funkcje. Ilość funktorów NAND jest równa ilości składników sumy a ilość funktorów NOR jest równa ilości czynników iloczynu. Poziom III stanowi pojedynczy element NAND (NOR). UWAGA: Dwa ostatnie poziomy są niezbędne.

121 dla minimalnej postaci sumy funkcji f 11 = a+b+c, f 21 = ab+ac+bc. Poziom I Poziom II Poziom III a b c a b c a b c = a+b+c Realizacja funkcji f 11 przy pomocy bramek NAND

122 a b c Poziom I Poziom II Poziom III ab ac bc a b ac bc = ab+ac+bc Realizacja funkcji f 21 przy pomocy bramek NAND

123 dla minimalnej postaci iloczynu funkcji f 10 = (a+b+c), f 20 = (a+b)(a+c)(b+c). Poziom I Poziom II Poziom III a b c a+b+c = a+b+c Realizacja funkcji f 10 przy pomocy bramek NOR

124 a b c Poziom I Poziom II Poziom III a+b a+c b+c (a+b)+(a+c)+(b+c) = (a+b)(a+c)(b+c) Realizacja funkcji f 20 przy pomocy bramek NOR

125 UWAGA: Funkcja zapisana w formie sumy iloczynów, zazwyczaj realizowana jest za pomocą elementów typu NAND. Funkcja zapisana w formie iloczynu sum, zazwyczaj realizowana jest za pomocą elementów typu NOR. Jeżeli funkcja zapisana w formie sumy iloczynów, ma być zrealizowana jest za pomocą elementów typu NOR, to należy zanegować wszystkie wejścia i wyjścia. Jeżeli funkcja zapisana w formie iloczynu sum, ma być zrealizowana jest za pomocą elementów typu NAND, to należy zanegować wszystkie wejścia i wyjścia.

126 Zbudować układ realizujący funkcję, której tablica Karnaugha przedstawiona jest poniżej.

127 1 f = ac + ab + bc

128 a b c

129 0 f = (a + b)(a + c)(b + c)

130 a b c

131 Realizacja układu przy wykorzystaniu multipleksera Zasada łączenia wejść multipleksera jest następująca: do wejść adresowych podłączane są sygnały wejściowe do odpowiednich wejść multipleksera (określanych przez odpowiednie wejścia adresowe), podłączane są sygnały 1 lub 0 zgodnie z tablicą wartości funkcji.

132 f 7 A1 A 2 A 3 a b c

133 Hazard Hazard określa możliwość powstania, pod wpływem określonych zmian sygnałów wejściowych, na niektórych wyjściach układu: niepożądanych krótkotrwałych sygnałów 1 lub 0 przy wymaganych niezmienionych sygnałach odpowiednio 0 lub 1, jest to tzw. hazard statyczny; krótkotrwałych zmian sygnałów wyjściowych np. 01 lub 10 przy wymaganej jednokrotnej zmianie 01 lub 10, jest to tzw. hazard dynamiczny.

134 Hazard statyczny Hazard statyczny powstaje w rzeczywistych układach przełączających w wyniku niejednoznaczności zmian sygnału x i jego negacji x co powoduje, że nie są spełnione następujące tożsamości algebry Boole a: x + x = 1; x x = 0.

135 Normalna postać sumy funkcji jest następująca: y = x + 1x2 x3x1

136

137 Przy zmianie stanu wejść x 1x2 x3 z wartości 011 na 111 zmienia się tylko x 1 z 0 na 1 przy x 1 = 1 i x 2 = 1 a funkcja y nie powinna zmieniać swojej wartości

138

139 Tablice Karnaugha Aby nie występował hazard musi być spełniona zasada, że każde dwie sąsiednie kratki zawierające jednakowe wartości 1 lub 0 muszą być objęte wspólną grupą.

140 W normalnej postaci sumy funkcji y = Ax + Bx + Z gdzie: A,B dowolne elementarne iloczyny, Z implikant lub suma implikantów funkcji y występuje hazard statyczny względem zmiennej x, jeżeli: AB ABZ. Aby wyeliminować hazard należy funkcję y uzupełnić implikantem g=ab, co nie zmienia jej wartości, gdyż zgodnie z regułą uogólnionego sklejania zachodzi tożsamość Ax + Bx + AB = Ax + Bx.

141 Analogicznie w normalnej postaci iloczynu funkcji y = ( A + x )( B + x )Z występuje hazard jeżeli nie jest spełniony warunek A + B= A+ B+ Z. Aby wyeliminować hazard należy funkcję y implicentem g=a+b, co nie zmienia jej wartości. uzupełnić

142 Normalna postać sumy funkcji jest następująca: y = x + 1x2 x3 x1 y = Ax + Bx1 1 + Z gdzie: A =, B = x3 i Z = 0 x 2 a zatem AB = x2 x3 0 Aby wyeliminować hazard należy dodać implikant x 2 x3. Funkcja będzie miała postać y = x + 1x2 + x3 x1 x2x3

143 Automaty sekwencyjne

144 Automatem sekwencyjnym (automatem z pamięcią) nazywamy układ przełączający przetwarzający cyfrową informację x i, dostarczoną na jego wejście w cyfrową informacje y i wytworzoną na wyjściach w ten sposób, że w dowolnej chwili czasowej t i informacja y t i zależy od informacji x i w chwili t oraz we wszystkich chwilach t-1, t-2,... t-n czyli Y t i = f ( x t i, x t i 1, x 2t,... x t n i i )

145 Zespół sygnałów dostarczanych na wejścia automatu nazywa się stanem wejść automatu X = ( x, x, x,... x n ) Zespół sygnałów wytwarzanych na wyjściach automatu nazywa się stanem wyjść automatu Y = ( y, y, y,... y m )

146 Automat posiada pamięć, która w realnych układach składa się z k elementarnych dwustanowych komórek pamięciowych Q 1, Q 2,... Q k, zwanych automatami elementarnymi. Kombinację stanów wyjść tych automatów nazywa się stanem wewnętrznym automatu sekwencyjnego. A = ( Q1, Q2, Q3,... Qk ) Liczba możliwych stanów wewnętrznych automatu jest równa 2 k.

147 Stany elementów pamięci zmieniają się pod wpływem odpowiednich sygnałów sterujących q 1, q 2,... q k, zwanych wzbudzeniami. Kombinację sygnałów wzbudzeń doprowadzonych do pamięci autoamtu nazywa się stanem wzbudzeń. B = ( q, q, q,... q k )

148 X τ 1 γ K1 B τ 2 P µ A τ 3 λ K2 Y B t+τ1 =γ(x t,a t ) (1) A t+ τ1+τ2 =µ(β t+τ1 ) (2) A t+ τ1+τ2 =σ(x t,a t )

149 Automat Moore a X τ 1 γ K1 B τ 2 P µ A τ 3 λ K2 Y X τ k σ A τ 3 λ K2 Y

150 Automat Mealy ego X τ1 γ B τ2 τ3 µ λ A Y K1 P K2 X τ k σ A τ3 λ K2 Y

151 Automaty dzielą się na: automaty synchroniczne - zmiany następują pod wpływem sygnału taktującego automaty asynchroniczne - zmiany następują pod wpływem zmian sygnałów wejściowych

152 W automatach synchronicznych taktem określa się odstępy pomiędzy poszczególnymi sygnałami pod wpływem których zachodzą zmiany stanów wewnętrznych automatu. W automatach asynchronicznych taktem określa się odstęp czasu między kolejnymi zmianami sygnałów wejściowych.

153 X τ = 0 k τ A τ 3 λ K2 Y

154 X τ=0 τ Y K τ Y t+1 =σ 1 (X t,y t ) Y t+1 =σ 2 (X t,y t,z t ) Z t+1 =σ 3 (X t,y t,z t )

155 A t +1 =δ( X t, X t- 1 X X, At, At 1 A t ) Y t+1 = λ( X t, A t )

156 Sposoby zapisywania działania automatu sekwencyjnego Opis słowny Opis za pomocą ciągów zero jedynkowych Wykresy czasowe Tablica kolejności łączeń Grafy automatów Tablica przejść i wyjść

157

158 0 / / / / / / 1 0

159 X t A t 0 1 Y t A t+1,y t+1

160 X t A t X t A t A t+1 Y t+1 X t A t /01 2/11 2 1/10 3/11 3 2/10 3/00 A t+ 1/Yt +1

161 Przekształcenie automatu Moore a w automat Mealyego X t A t X 1 X 2 A 1 A 3 A 2 Y 2 A 2 A 4 A 6 Y 2 A 3 A 3 A 2 Y 1 A 4 A 4 A 6 Y 1 A 5 A 6 A 4 Y 3 A 6 A 1 A 5 Y 3 A t+1 X t A t X 1 X 2 A 1 A 3 /Y 1 A 2 /Y 2 A 2 A 4 /Y 1 A 6 /Y 3 A 3 A 3 /Y 1 A 2 /Y 2 A 4 A 4 /Y 1 A 6 /Y 3 A 5 A 6 /Y 3 A 4 /Y 1 A 6 A 1 /Y 2 A 5 /Y 3 A t+1 /Y t+1

162 X t A t X 1 X 2 A 1 A 3 /Y 1 A 2 /Y 2 A 2 A 4 /Y 1 A 6 /Y 3 A 3 A 3 /Y 1 A 2 /Y 2 A 4 A 4 /Y 1 A 6 /Y 3 A 5 A 6 /Y 3 A 4 /Y 1 A 6 A 1 /Y 2 A 5 /Y 3 X t A t X 1 X 2 A 1,A 3 A 3 /Y 1 A 2 /Y 2 A 2,A 4 A 4 /Y 1 A 6 /Y 3 A 5 A 6 /Y 3 A 4 /Y 1 A 6 A 1 /Y 2 A 5 /Y 3 A t+1 /Y t+1 A t+1 /Y t+1

163 X t A t X 1 X 2 A 1 A 1 /Y 1 A 2 /Y 2 A 2 A 2 /Y 1 A 4 /Y 3 A 3 A 4 /Y 3 A 2 /Y 1 A 4 A 1 /Y 2 A 3 /Y 3 A t+1 /Y t+1

164 Przekształcenie automatu Mealyego w automat Moore a X t X t A t X 1 X 2 A 1 A 1 /Y 1 A 2 /Y 2 A 2 A 2 /Y 1 A 4 /Y 3 A 3 A 4 /Y 3 A 2 /Y 1 A 4 A 1 /Y 2 A 3 /Y 3 A t+1 /Y t+1 A t X 1 X 2 A 1 (D 1 ) A 1 /Y 1 (D 2 ) A 2 /Y 2 A 2 (D 3 ) A 2 /Y 1 (D 4 ) A 4 /Y 3 A 3 (D 4 ) A 4 /Y 3 (D 3 ) A 2 /Y 1 A 4 (D 5 ) A 1 /Y 2 (D 6 ) A 3 /Y 3 A t+1 /Y t+1

165 X t A t X 1 X 2 A 1 (D 1 ) A 1 /Y 1 (D 2 ) A 2 /Y 2 A 2 (D 3 ) A 2 /Y 1 (D 4 ) A 4 /Y 3 A 3 (D 4 ) A 4 /Y 3 (D 3 ) A 2 /Y 1 A 4 (D 5 ) A 1 /Y 2 (D 6 ) A 3 /Y 3 X t A t X 1 X 2 D 1 D 1 D 2 Y 1 D 2 D 3 D 4 Y 2 D 3 D 3 D 4 Y 1 D 4 D 5 D 6 Y 3 D 5 D 1 D 2 Y 2 D 6 D 4 D 3 Y 3 A t+1 A t+1 /Y t+1

166 X t A t X 1 X 2 A 3 A 3 A 2 Y 1 A 2 A 4 A 6 Y 2 A 4 A 4 A 6 Y 1 A 6 A 1 A 5 Y 3 A 1 A 3 A 2 Y 2 A 5 A 6 A 4 Y 3 A t+1 X t A t X 1 X 2 A 1 A 3 A 2 Y 2 A 2 A 4 A 6 Y 2 A 3 A 3 A 2 Y 1 A 4 A 4 A 6 Y 1 A 5 A 6 A 4 Y 3 A 6 A 1 A 5 Y 3 A t+1

167 Wyścig krytyczny X 1 X 2 Q 1 Q 2 Q

168 X 1 X 2 Wyścig niekrytyczny Q 1 Q 2 Q

169 X 1 X 2 Wystąpienie drgań Q 1 Q 2 Q

170 Sposoby eliminacji wyścigu: zmiana kodu stanów wewnętrznych zamiana wyścigu krytycznego na wyścig niekrytyczny

171 Synteza automatów sekwencyjnych Etapy procesu syntezy automatów sekwencyjnych synteza właściwa- budowa automatu pierwotnego w postaci tablicy, grafu lub modelu matematycznego minimalizacja liczby stanów wewnętrznych kodowanie stanów wewnętrznych synteza kombinacyjna - wyznaczanie struktury wewnętrznej

172 Automaty elementarne Automaty elementarne są podstawowymi komórkami pamięciowymi automatów sekwencyjnych. Zawsze są stosowane w automatach synchronicznych, natomiast w automatach asynchronicznych tylko wtedy, gdy pamięć jest realizowana za pomocą odrębnych bloków, a nie za pomocą pętli sprzężeń zwrotnych. Automaty elementarne realizuje się za pomocą różnego rodzaju przerzutników bistabilnych.

173 Przerzutnik bistabilny jest elementem: o dwóch wzajemnie komplementarnych wyjściach, jednym lub kilku wejściach. Rodzaje przerzutnuków: asynchroniczne synchroniczne statyczne (potencjałowe) dynamiczne (impulsowe)

174 Przerzutniki asynchroniczne: wz rs jk t Przerzutniki synchroniczne: RS JK D T

175 Przerzutnik wz z Q w w Q z Q

176 Przerzutnik rs s r Q Q s r Q

177 Przerzutnik jk j k Q Q j k Q

178 Przerzutnik t t Q Q t Q

179 Przerzutnik RS S c R Q Q c S S c R Q Q R Q

180 Przerzutnik JK J c K Q Q c J J c Q Q K Q

181 Przerzutnik D D c Q Q c D c Q Q D Q

182 Przerzutnik T T c Q Q c T c Q Q T Q

183 Synteza automatu synchronicznego określić liczbę i typ przerzutników niezbędnych do realizacji układu przedstawić wymaganą sekwencję stanów w postaci np. tablicy stanów sporządzić tablicę przejść sporządzić tablicę Karnaugha dla określenia zminimalizowanych funkcji logicznych realizowanych przez układ kombinacyjny rozpatrywanego układu sekwencyjnego zaimplementować układ

184 Tablice przejść podstawowych przerzutników D Q t Q t+1 T Q t Q t+1 RS Q t Q t+1 JK Q t Q t+1 Tablice wzbudzeń podstawowych przerzutników Q t Q t+1 D T JK RS

185 Synteza sumatora szeregowego ab Q t Q t+1 ab Q t Y

186 ab Q t RS ab Q t JK ab Q t D ab Q t T

187 Tablice wzbudzeń podstawowych przerzutników Q t Q t+1 D T JK RS D=1 T=1 J=1 K=1 R=1 S=1 Warunek konieczny Warunek dozwolony Q t Q t Q t Q t

188 D= [ F 1, F 1,( F )] S = [ F 1,( F 1, F )] R= [ F 0,( F 0, F )] J = [ F 1,( F 0, F 1, F )] K = [ F 0,( F 1, F 0, F )] T = [ F 1, F 0,( F )]

189 ab Q t Q t+1

190 Synteza licznika modulo 8 Q 3 t Q 2 t Q 1 t Q 3 t+1 Q 2 t+1 Q 1 t

191 Q 3 t Q 2 t Q 1 t Q 3 t+1 Q 3 t Q 2 t Q 1 t Q 2 t+1 Q 3 t Q 2 t Q 1 t Q 1 t+1

192 Przekształcanie układów synchronicznych w asynchroniczne Zasady przekształcenia układu synchronicznych w asynchroniczne 1. Jeżeli zbiór pogrubionych symboli przerzutnika Q A mieści się w zbiorze pogrubionych zer (jedynek) przerzutnika Q B, to do wejścia zegarowego c przerzutnika Q A można doprowadzić sygnał Q B (Q B ) zakładając, że przerzutniki są wyzwalane zboczem opadającym Sygnały wzbudzeń przerzutnika Q A muszą być przy tym określone tylko w tych kratkach tablicy, w których występują pogrubione zera ( jedynki w tablicy przejść przerzutnika Q B.

193 Q 3 t Q 2 t Q 1 t D c 3 3 = = Q Q 3 2 Q 3 t+1 Q 3 t Q 2 t Q 1 t D c 2 2 = Q = Q 2 2 Q 2 t+1 Q 3 t Q 2 t Q 1 t Q 1 t+1 D c 1 1 = = Q 1 WE

194 Q 3 t Q 2 t Q 1 t J c 3 = K3 = 1 3 = Q 2 Q 3 t+1 Q 3 t Q 2 t Q 1 t J c 2 = K2 = 1 2 = Q 1 Q 2 t+1 Q 3 t Q 2 t Q 1 t Q 1 t+1 J1 = K1 = 1 c = WE 1

195 Licznik dekadowy Q 4 t Q 3 t Q 2 t Q 1 t Q 4 t+1 Q 3 t+1 Q 2 t+1 Q 1 t

196 Q 4 t Q 3 t Q t t 2 Q Q 4 t Q 3 t Q t t 2 Q Q 4 t+1 Q 3 t+1 J K 4 4 = = Q Q 3 1 Q Q 2 1 K = 3 = J3 Q2Q1 Q 4 t Q 3 t Q t t 2 Q Q 4 t Q 3 t Q 2 t Q 1 t Q 2 t+1 Q 1 t+1 J K 2 2 = Q 3 = Q 1 Q 2 Q 1 J 1 = K1 = 1

197 Q 4 t Q 3 t Q t t 2 Q Q 4 t Q 3 t Q t t 2 Q Q 4 t+1 Q 3 t+1 Q 4 t Q 3 t Q t t 2 Q Q 4 t Q 3 t Q 2 t Q 1 t Q 2 t+1 Q 1 t+1

198 Q 4 t Q 3 t Q t t 2 Q Q 4 t Q 3 t Q t t 2 Q Q 4 t+1 Q 3 t+1 J K c = = = Q 1 Q 3 1 Q 2 J c 3 = K3 = 1 3 = Q 2 Q 4 t Q 3 t Q t t 2 Q Q 4 t Q 3 t Q 2 t Q 1 t Q 2 t+1 Q 1 t+1 J K c = Q = 1 = Q 4 1 J1 = K1 = 1 c = WE 1

Układy Logiczne i Cyfrowe

Układy Logiczne i Cyfrowe Układy Logiczne i Cyfrowe Wykład dla studentów III roku Wydziału Elektrycznego mgr inż. Grzegorz Lisowski Instytut Automatyki Podział układów cyfrowych elementy logiczne bloki funkcjonalne zespoły funkcjonalne

Bardziej szczegółowo

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 1 Techniki Mikroprocesorowe dr inż. Artur Cichowski ix jy i j {0,1} {0,1} Dla układów kombinacyjnych stan dowolnego wyjścia y i w danej chwili czasu zależy wyłącznie od aktualnej kombinacji stanów

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 12 - synteza i minimalizacja funkcji logicznych Instytut Automatyki i Robotyki Warszawa, 2017 Synteza funkcji logicznych Terminy - na bazie funkcji trójargumenowej y = (x 1, x 2, x 3 ) (1) Elementarny

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Metoda Karnaugh. B A BC A

Metoda Karnaugh. B A BC A Metoda Karnaugh. Powszechnie uważa się, iż układ o mniejszej liczbie elementów jest tańszy i bardziej niezawodny, a spośród dwóch układów o takiej samej liczbie elementów logicznych lepszy jest ten, który

Bardziej szczegółowo

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1 Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1. Podstawowe operacje logiczne dla cyfr binarnych Jeśli cyfry 0 i 1 potraktujemy tak, jak wartości logiczne fałsz i prawda, to działanie

Bardziej szczegółowo

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2015 Kody liczb całkowitych nieujemnych Kody liczbowe dzielimy na analityczne nieanalityczne (symboliczne)

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

WSTĘP DO ELEKTRONIKI

WSTĘP DO ELEKTRONIKI WSTĘP DO ELEKTRONIKI Część VII Układy cyfrowe Janusz Brzychczyk IF UJ Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle" POKL

dr inż. Rafał Klaus Zajęcia finansowane z projektu Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle POKL Technika cyfrowa w architekturze komputerów materiał do wykładu 2/3 dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia na Politechnice Poznańskiej w zakresie technologii

Bardziej szczegółowo

Arytmetyka liczb binarnych

Arytmetyka liczb binarnych Wartość dwójkowej liczby stałoprzecinkowej Wartość dziesiętna stałoprzecinkowej liczby binarnej Arytmetyka liczb binarnych b n-1...b 1 b 0,b -1 b -2...b -m = b n-1 2 n-1 +... + b 1 2 1 + b 0 2 0 + b -1

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

Minimalizacja form boolowskich

Minimalizacja form boolowskich Sławomir Kulesza Technika cyfrowa Minimalizacja form boolowskich Wykład dla studentów III roku Informatyki Wersja 1.0, 05/10/2010 Minimalizacja form boolowskich Minimalizacja proces przekształcania form

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych.

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych. DODATEK: FUNKCJE LOGICZNE CD. 1 FUNKCJE LOGICZNE 1. Tablice Karnaugha Do reprezentacji funkcji boolowskiej n-zmiennych można wykorzystać tablicę prawdy o 2 n wierszach lub np. tablice Karnaugha. Tablica

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Stan wysoki (H) i stan niski (L)

Stan wysoki (H) i stan niski (L) PODSTAWY Przez układy cyfrowe rozumiemy układy, w których w każdej chwili występują tylko dwa (zwykle) możliwe stany, np. tranzystor, jako element układu cyfrowego, może być albo w stanie nasycenia, albo

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

1.1. Pozycyjne systemy liczbowe

1.1. Pozycyjne systemy liczbowe 1.1. Pozycyjne systemy liczbowe Systemami liczenia nazywa się sposób tworzenia liczb ze znaków cyfrowych oraz zbiór reguł umożliwiających wykonywanie operacji arytmetycznych na liczbach. Dla dowolnego

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

ARYTMETYKA BINARNA. Dziesiątkowy system pozycyjny nie jest jedynym sposobem kodowania liczb z jakim mamy na co dzień do czynienia.

ARYTMETYKA BINARNA. Dziesiątkowy system pozycyjny nie jest jedynym sposobem kodowania liczb z jakim mamy na co dzień do czynienia. ARYTMETYKA BINARNA ROZWINIĘCIE DWÓJKOWE Jednym z najlepiej znanych sposobów kodowania informacji zawartej w liczbach jest kodowanie w dziesiątkowym systemie pozycyjnym, w którym dla przedstawienia liczb

Bardziej szczegółowo

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Temat lekcji: Minimalizacja funkcji logicznych Etapy lekcji: 1. Podanie tematu i określenie celu lekcji SOSOBY MINIMALIZACJI

Bardziej szczegółowo

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych 98 3. Synteza układów kombimtcyjnych funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych ma postać y = a po ich uwzględnieniu y = oo ot 1-0 1 0 y S ODO ooi on oio w tu 101

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

PODSTAWY TEORII UKŁADÓW CYFROWYCH

PODSTAWY TEORII UKŁADÓW CYFROWYCH PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY KODUJĄCE Kodery Kodery Kodery służą do przedstawienia informacji z tylko jednego aktywnego wejścia na postać binarną. Ponieważ istnieje fizyczna możliwość jednoczesnej

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Układy kombinacyjne

Wstęp do Techniki Cyfrowej... Układy kombinacyjne Wstęp do Techniki Cyfrowej... Układy kombinacyjne Przypomnienie Stan wejść układu kombinacyjnego jednoznacznie określa stan wyjść. Poszczególne wyjścia określane są przez funkcje boolowskie zmiennych wejściowych.

Bardziej szczegółowo

Układy kombinacyjne. cz.2

Układy kombinacyjne. cz.2 Układy kombinacyjne cz.2 Układy kombinacyjne 2/26 Kombinacyjne bloki funkcjonalne Kombinacyjne bloki funkcjonalne - dekodery 3/26 Dekodery Są to układy zamieniające wybrany kod binarny (najczęściej NB)

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia Opracował: dr inż. Jarosław Mierzwa KTER INFORMTKI TEHNIZNEJ Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 202 Temat: Układy kombinacyjne 1. el ćwiczenia Ćwiczenie ma na celu praktyczne zapoznanie

Bardziej szczegółowo

12. Wprowadzenie Sygnały techniki cyfrowej Systemy liczbowe. Matematyka: Elektronika:

12. Wprowadzenie Sygnały techniki cyfrowej Systemy liczbowe. Matematyka: Elektronika: PRZYPOMNIJ SOBIE! Matematyka: Dodawanie i odejmowanie "pod kreską". Elektronika: Sygnały cyfrowe. Zasadę pracy tranzystorów bipolarnych i unipolarnych. 12. Wprowadzenie 12.1. Sygnały techniki cyfrowej

Bardziej szczegółowo

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a i układy logiczne 1 Elementy logiki dla informatyków Wykład III Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

Układy kombinacyjne 1

Układy kombinacyjne 1 Układy kombinacyjne 1 Układy kombinacyjne są to układy cyfrowe, których stany wyjść są zawsze jednoznacznie określone przez stany wejść. Oznacza to, że doprowadzając na wejścia tych układów określoną kombinację

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych.

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

dr inż. Małgorzata Langer Architektura komputerów

dr inż. Małgorzata Langer Architektura komputerów Instrukcja współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie Innowacyjna dydaktyka bez ograniczeń zintegrowany rozwój Politechniki Łódzkiej zarządzanie Uczelnią,

Bardziej szczegółowo

WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO

WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO Przedmiot: PODSTAWY AUTOMATYKI I AUTOMATYZACJI (studia I stopnia) ĆWICZENIE RACHUNKOWE PROJEKT PROSTEGO

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW INSTYTUT YERNETYKI TEHNIZNEJ POLITEHNIKI WROŁWSKIEJ ZKŁD SZTUZNEJ INTELIGENJI I UTOMTÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 22 temat: UKŁDY KOMINYJNE. EL ĆWIZENI Ćwiczenie ma na

Bardziej szczegółowo

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18 Spis treści Przedmowa... 11 Wykaz oznaczeń... 13 1. Wstęp... 15 1.1. Układycyfrowe... 15 1.2. Krótki esej o projektowaniu.... 15 2. Układy kombinacyjne... 18 2.1. Podstawyprojektowaniaukładówkombinacyjnych...

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Algebra Boole a

Wstęp do Techniki Cyfrowej... Algebra Boole a Wstęp do Techniki Cyfrowej... Algebra Boole a Po co AB? Świetne narzędzie do analitycznego opisu układów logicznych. 1854r. George Boole opisuje swój system dedukcyjny. Ukoronowanie zapoczątkowanych w

Bardziej szczegółowo

Układy cyfrowe. Najczęściej układy cyfrowe służą do przetwarzania sygnałów o dwóch poziomach napięć:

Układy cyfrowe. Najczęściej układy cyfrowe służą do przetwarzania sygnałów o dwóch poziomach napięć: Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane są wartości liczbowe. Najczęściej układy cyfrowe służą do przetwarzania

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

Temat 7. Dekodery, enkodery

Temat 7. Dekodery, enkodery Temat 7. Dekodery, enkodery 1. Pojęcia: koder, dekoder, enkoder, konwerter kodu, transkoder, enkoder priorytetowy... Koderami (lub enkoderami) nazywamy układy realizujące proces zamiany informacji kodowanej

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

Funkcja Boolowska a kombinacyjny blok funkcjonalny

Funkcja Boolowska a kombinacyjny blok funkcjonalny SWB - Kombinacyjne bloki funkcjonalne - wykład 3 asz 1 Funkcja Boolowska a kombinacyjny blok funkcjonalny Kombinacyjny blok funkcjonalny w technice cyfrowej jest układem kombinacyjnym złożonym znwejściach

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej i Mikroelektroniki

Wstęp do Techniki Cyfrowej i Mikroelektroniki Wstęp do Techniki Cyfrowej i Mikroelektroniki dr inż. Maciej Piotrowicz Katedra Mikroelektroniki i Technik Informatycznych PŁ piotrowi@dmcs.p.lodz.pl http://fiona.dmcs.pl/~piotrowi -> Wstęp do... Układy

Bardziej szczegółowo

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia:

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia: Warszawa 207 Cel ćwiczenia rachunkowego Podczas ćwiczenia poruszane będą następujące zagadnienia: modelowanie i synteza kombinacyjnych układów przełączających; minimalizacja funkcji przełączającej; projektowanie

Bardziej szczegółowo

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały:

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały: Dr inż Jan Chudzikiewicz Pokój 7/65 Tel 683-77-67 E-mail: jchudzikiewicz@watedupl Materiały: http://wwwitawatedupl/~jchudzikiewicz/ Warunki zaliczenie: Otrzymanie pozytywnej oceny z kolokwium zaliczeniowego

Bardziej szczegółowo

Podstawy Automatyki. Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych Instytut Automatyki i Robotyki Warszawa, 2015 Układy o programach liniowych - Przykład Zaprojektować procesowo-zależny układ sterowania

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Cyfrowe bramki logiczne 2012

Cyfrowe bramki logiczne 2012 LORTORIUM ELEKTRONIKI yfrowe bramki logiczne 2012 ndrzej Malinowski 1. yfrowe bramki logiczne 3 1.1 el ćwiczenia 3 1.2 Elementy algebry oole`a 3 1.3 Sposoby zapisu funkcji logicznych 4 1.4 Minimalizacja

Bardziej szczegółowo

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE Podstawowymi bramkami logicznymi są układy stanowiące: - funktor typu AND (funkcja

Bardziej szczegółowo

DYDAKTYKA ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE

DYDAKTYKA ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE @KEMOR SPIS TREŚCI. SYSTEMY LICZBOWE...3.. SYSTEM DZIESIĘTNY...3.2. SYSTEM DWÓJKOWY...3.3. SYSTEM SZESNASTKOWY...4 2. PODSTAWOWE OPERACJE NA LICZBACH BINARNYCH...5

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji.

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji. Algebra Boole a Algebrą Boole a nazywamy zbiór B, wyróżnione jego podzbiory O i I oraz operacje dwuargumentowe +;, które dla dowolnych elementów X, Y, Z zbioru B spełniają następujące aksjomaty: X+Y B;

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Podstawy Automatyki Człowiek- najlepsza inwestycja Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Politechnika Warszawska Instytut Automatyki i Robotyki Dr inż.

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład)

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład) Wstęp doinformatyki Układy logiczne komputerów kombinacyjne sekwencyjne Układy logiczne Układy kombinacyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 synchroniczne asynchroniczne Wstęp

Bardziej szczegółowo

2 Arytmetyka. d r 2 r + d r 1 2 r 1...d d 0 2 0,

2 Arytmetyka. d r 2 r + d r 1 2 r 1...d d 0 2 0, 2 Arytmetyka Niech b = d r d r 1 d 1 d 0 będzie zapisem liczby w systemie dwójkowym Zamiana zapisu liczby b na system dziesiętny odbywa się poprzez wykonanie dodawania d r 2 r + d r 1 2 r 1 d 1 2 1 + d

Bardziej szczegółowo

Znaki w tym systemie odpowiadają następującym liczbom: I=1, V=5, X=10, L=50, C=100, D=500, M=1000

Znaki w tym systemie odpowiadają następującym liczbom: I=1, V=5, X=10, L=50, C=100, D=500, M=1000 SYSTEMY LICZBOWE I. PODZIAŁ SYSTEMÓW LICZBOWYCH: systemy liczbowe: pozycyjne (wartośd cyfry zależy od tego jaką pozycję zajmuje ona w liczbie): niepozycyjne (addytywne) (wartośd liczby jest sumą wartości

Bardziej szczegółowo

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych.

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych. 2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych. W przypadku funkcji niezupełnej wektory spoza dziedziny funkcji wykorzystujemy w procesie sklejania, ale nie uwzględniamy ich w tablicy

Bardziej szczegółowo

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3.. ZASADY OGÓLNE 3... ZAPIS FUNKCJI Synteza układów przełączających to zespól czynności, które n-i podstawie założeń dotyczących działania układów doprowadza ją do schematu

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

Systemy liczbowe. 1. Przedstawić w postaci sumy wag poszczególnych cyfr liczbę rzeczywistą R = (10).

Systemy liczbowe. 1. Przedstawić w postaci sumy wag poszczególnych cyfr liczbę rzeczywistą R = (10). Wprowadzenie do inżynierii przetwarzania informacji. Ćwiczenie 1. Systemy liczbowe Cel dydaktyczny: Poznanie zasad reprezentacji liczb w systemach pozycyjnych o różnych podstawach. Kodowanie liczb dziesiętnych

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Systemy liczbowe używane w technice komputerowej

Systemy liczbowe używane w technice komputerowej Systemy liczbowe używane w technice komputerowej Systemem liczenia nazywa się sposób tworzenia liczb ze znaków cyfrowych oraz zbiór reguł umożliwiających wykonywanie operacji arytmetycznych na liczbach.

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne Evatronix KontrolerEthernet MAC (Media Access Control)

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów Podstawy Automatyki i Automatyzacji - Ćwiczenia Laboratoryjne mgr inż.

Bardziej szczegółowo

Logika binarna. Prawo łączności mówimy, że operator binarny * na zbiorze S jest łączny gdy (x * y) * z = x * (y * z) dla każdego x, y, z S.

Logika binarna. Prawo łączności mówimy, że operator binarny * na zbiorze S jest łączny gdy (x * y) * z = x * (y * z) dla każdego x, y, z S. Logika binarna Logika binarna zajmuje się zmiennymi mogącymi przyjmować dwie wartości dyskretne oraz operacjami mającymi znaczenie logiczne. Dwie wartości jakie mogą te zmienne przyjmować noszą przy tym

Bardziej szczegółowo

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze.

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze. Lista zadań do poszczególnych tematów ćwiczeń. MIERNICTWO ELEKTRYCZNE I ELEKTRONICZNE Studia stacjonarne I stopnia, rok II, 2010/2011 Prowadzący wykład: Prof. dr hab. inż. Edward Layer ćw. 15h Tematyka

Bardziej szczegółowo

Arytmetyka komputera. Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka. Opracował: Kamil Kowalski klasa III TI

Arytmetyka komputera. Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka. Opracował: Kamil Kowalski klasa III TI Arytmetyka komputera Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka Opracował: Kamil Kowalski klasa III TI Spis treści 1. Jednostki informacyjne 2. Systemy liczbowe 2.1. System

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Wykład jest przygotowany dla IV semestru kierunku Elektronika i Telekomunikacja. Studia I stopnia Dr inż. Małgorzata Langer Architektura komputerów Prezentacja multimedialna współfinansowana przez Unię

Bardziej szczegółowo

Układy asynchroniczne

Układy asynchroniczne Układy asynchroniczne Model układu asynchronicznego y x n UK y m układ kombinacyjny q k BP q k blok pamięci realizuje opóźnienia adeusz P x x t s tan stabilny s: δ(s,x) = s automacie asynchronicznym wszystkie

Bardziej szczegółowo

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne... Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...4 Podział układów logicznych...6 Cyfrowe układy funkcjonalne...8 Rejestry...8

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 3 Techniki Mikroprocesorowe dr inż. Artur Cichowski Automat skończony jest przetwornikiem ciągu symboli wejściowych na ciąg symboli wyjściowych. Zbiory symboli wejściowych x X i wyjściowych y

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo