WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO

Wielkość: px
Rozpocząć pokaz od strony:

Download "WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO"

Transkrypt

1 WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO Przedmiot: PODSTAWY AUTOMATYKI I AUTOMATYZACJI (studia I stopnia) ĆWICZENIE RACHUNKOWE PROJEKT PROSTEGO UKŁADU KOMBINACYJNEGO Warszawa 0

2 ĆWICZENIE RACHUNKOWE Temat: Projekt prostego układu kombinacyjnego. WIADOMOŚCI OGÓLNE.. Pojęcia podstawowe System dwójkowy (binarny) jest sposobem zapisu liczb za pomocą symboli 0 i. Ogólny zapis liczb w systemie binarnym opisuje zależność: L 0 i b i in Reprezentacja dziesiętna stanowi wygodniejszą formę zapisu liczby binarnej. Jeśli zmiennej i przypiszemy, a zmiennej i przypiszemy 0, to w prosty sposób uzyskuje się reprezentacje binarną. Układem przełączającym nazywamy urządzenie sterujące, zbudowane z elementów, które mogą znajdować się w dwóch różnych stanach, określonych jako stan spoczynkowy i stan wzbudzony. Elementy przełączające, z których zbudowany jest układ przełączający, łączą lub przerywają przepływ energii w obwodzie, np.: przekaźniki i przełączniki elektryczne załączają przepływ energii elektrycznej, rozdzielacze pneumatyczne zmieniają kierunek przepływu sprężonego powietrza, natomiast rozdzielacze hydrauliczne sterują kierunkiem przepływu płynu hydraulicznego. Funkcją przełączającą f(,,, n ) nazywamy takie odwzorowanie, które dla kombinacji argumentów,,, n przyjmujących wartości 0 lub przyporządkowuje rozwiązanie ze zbioru {0, }. Czynnik jedynki (konstytuanta zera) M i jest taką funkcją przełączającą, która przyjmuje wartość 0 tylko dla kombinacji wartości zmiennych, wyrażonej w postaci sumy. Zupełnie normalną postacią sumy (postacią kanoniczną dysjunkcyjną) funkcji przełączającej nazywamy sumę tych czynników jedynki (konstytuant jedynki), które są równe dla tych samych kombinacji wartości argumentów co zadana funkcja. Postać ogólną wyraża poniższa zależność:

3 F gdzie: f i iloczyn argumentów; n liczba argumentów. n i m i f i Zupełnie normalną postacią iloczynu (postacią kanoniczną koniunkcyjną) funkcji przełączającej nazywamy sumę tych czynników zera (konstytuant zera), które są równe 0 dla tych samych kombinacji wartości argumentów co zadana funkcja. Postać ogólną wyraża zależność: F' n M i f i i Faktoryzacja (minimalizacja) ma na celu uzyskanie najmniejszej złożoności układu. Realizuje się to poprzez rezygnację z postaci normalnej funkcji. Wykorzystuje się tutaj prawa rozdzielności lub prawa de Morgana... Algebra Boole a Algebra Boole a jest systemem umożliwiającym opis układów przełączających. W notacji formalnej algebrę Boole a zapisujemy jako uporządkowaną piątkę A=(X, 0,, +, ), gdzie: lub ; X={,,, n } zbiór argumentów przyjmujących wartości 0 0 element neutralny operacji dysjunkcji (sumy); element neutralny operacji koniunkcji (iloczynu); + symbol operacji dysjunkcji; symbol operacji koniunkcji. Po dokonaniu założeń: X i X i dla i,..., n X i dla i,..., n spełnione są następujące aksjomaty algebry Boole a:

4 gdzie: i - zanegowany argument i ; i - podwójnie zanegowany argument i... Ważniejsze funkcje przełączające Funkcją przełączającą f(,,, n ) n zmiennych nazywa się odwzorowanie: gdzie: n f : D 0, n D 0, uporządkowana piątka ({0, }, 0,,, +) jest algebrą Boole a Jeśli D n = {0, } n to funkcję przełączającą nazywamy zupełną lub w pełni określoną. W przypadku, gdy istnieją kombinacje argumentów, dla których funkcja nie jest określona, tzn. może przyjąć wartość 0 lub (oznacza się symbolem ), to nazywamy ją niezupełną. Poniżej przytoczono kilka najważniejszych funkcji przełączających nazywanych również funkcjami Boole a: f f f f f f f zmienna negacja zmiennej, koniunkcja, iloczyn zmiennych i " i", dysjunkcja, suma zmiennych i "lub", suma modulo, różóżni symetryczna " albo", operacja Pierce ' a " NOR", operacja Sheffera " NAND"

5 oraz wartości tych funkcji dla kombinacji argumentów i :.. Realizacja funkcji przełączających Ze względu na zasadę działania elementy do syntezy układów przełączających można podzielić na elektryczne elementy stykowe, elektryczne elementy bezstykowe i elementy płynowe. Układy przełączające z elektrycznymi elementami stykowymi W syntezie układów przełączających z elementami stykowymi wykorzystuje się przekaźniki, styczniki i łączniki. a) b) c) d) Rys.. Realizacja funkcji przełączających z wykorzystaniem elementów stykowych 5

6 Sposób realizacji podstawowych funkcji logicznych przedstawiono na rys.. Styk normalnie otwarty ( NO ) przedstawiony na rys.a odpowiada funkcji powtórzenia, natomiast styk normalnie zamknięty ( NZ ) z rys.b realizuje funkcję negacji. Przez połączenie szeregowe styków normalnie otwartych realizuje się funkcje iloczynu (koniunkcji), a przez ich połączenie równoległe funkcje sumy (dysjunkcji), co przedstawiono na rys.c i rys.d. Układy przełączające z elektrycznymi elementami bezstykowymi Do elektrycznych elementów bezstykowych zaliczamy elementy magnetyczne, diody, lampy elektronowe, tranzystory, elementy scalone. Obecnie wykorzystywane są wyłącznie bramki logiczne w postaci układów scalonych o różnej skali integracji: Układy przełączające z elementami płynowymi W układach przełączających z elementami płynowymi nośnikiem informacji jest sygnał pneumatyczny lub hydrauliczny. Ze względu na zasadę działania, elementy płynowe dzieli się na elementy strumieniowe i rozdzielcze. Sposób realizacji funkcji przełączającej z wykorzystaniem rozdzielacza trójdrogowego, dwupołożeniowego, przedstawiono na rys.. Wykorzystując rozdzielacz tego typu, poprzez odpowiednie połączenie wejść, można zrealizować podstawowe funkcje logiczne. Rys.. Sposób realizacji funkcji logicznych z wykorzystaniem pneumatycznego rozdzielacza /: a) postać ogólna, b) potwierdzenie, c) negacja, d) suma logiczna, e) iloczyn logiczny, f) objaśnienie symboli. 6

7 . UKŁADY KOMBINACYJNE.. Sposoby opisu układów kombinacyjnych Opis słowny jest najczęstszą formą zadawania układów przełączających, który polega na przyporządkowaniu sygnałom wejściowym X sygnałów wyjściowych Y. Tablica zależności w postaci ciągów zero- jedynkowych jest prostszą formą opisu układu. Określa ona wartości sygnałów X i odpowiadające im sygnały Y (rys.). Przebieg czasowy pokazuje zależności pomiędzy sygnałami wejściowymi i wyjściowymi, zazwyczaj w skali mierzonej taktami. Takt jest odstępem czasu między kolejnymi zmianami sygnałów. Tablica kolejności łączeń jest uproszczoną forma przebiegu czasowego. a) b) c) d) e) Rys.. Sposoby opisu funkcji przełączających: a) tablica zależności, b) przebiegi czasowe, c) tablica kolejności, d) tablica Karnaugha, e) zależność matematyczna. W tablicy Karnaugha (tablica Veitcha) wartości sygnałów wyjściowych Y umieszczane są w tablicy o współrzędnych określonych 7

8 przez sygnały wejściowe X. Tablice tego typu szczególnie przydatne są podczas upraszczania funkcji przełączających. Często wykorzystywaną forma opisu układów przełączających jest zależność matematyczna. Zależność Taja może mieć postać zupełnie normalną (postać kanoniczną) lub uproszczoną. Sposoby opisu wykorzystujące postać kanoniczną funkcji przełączającej przedstawiono poniżej: zupełnie normalna postać sumy (postać kanoniczna dysjunkcyjna) y i jej zapis skrócony y 0,,5,7 ; zupełnie normalna postać iloczynu (postać kanoniczna koniunkcyjna) y i jej zapis skrócony y,,,6... Minimalizacja funkcji przełączających Celem minimalizacji funkcji przełączających jest zmniejszenie liczby elementów, a tym samym kosztów urządzenia. Dodatkowo mniejsza liczba połączeń i elementów zwiększa trwałość i niezawodność urządzenia. Istnieje wiele sposobów minimalizacji funkcji przełączających, do których należą: metoda przekształceń formalnych; minimalizacja z wykorzystaniem tablicy Karnaugha; metoda Quine a McCluskeya; metoda współczynników nieoznaczonych; metoda harwardzka metoda tablic niezgodności metoda redukcji quasi implikantów. Metoda przekształceń formalnych Metoda przekształceń formalnych stosowana jest w przypadku, gdy funkcja dana jest w postaci wyrażenia algebraicznego. Wykorzystuje się wtedy aksjomaty i prawa algebry Boole a. W przypadku, gdy upraszczany układ kombinacyjny zadany jest w postaci schematu logicznego, realizowaną przez układ funkcję wyznacza się na drodze analizy. W celu zobrazowania tej metody dokonamy minimalizacja funkcji postaci: y 8

9 y Wyłączając uzyskujemy: W kolejnym kroku wyłączając przed nawias y wyłączamy Korzystając z prawa dopełnienia y otrzymujemy: pomijamy człon, a następnie () Ponownie korzystając z prawa dopełnienia, a następnie prawa działania na elementach neutralnych (+=), otrzymujemy: () Po podstawieniu zależności () do równania () otrzymujemy funkcję: y Przegrupowując wyrazy, otrzymujemy: y W celu wyznaczenia zminimalizowanej funkcji ponownie korzystamy z prawa dopełnienia, otrzymując końcową funkcję w postaci: y Metoda tablicy Karnaugha Metoda tablicy Karnaugha należy do grupy najszybszych metod minimalizacji funkcji przełączających małej liczby zmiennych co wynika z dużej komplikacji samego zapisu następującej wraz ze wzrostem ilości zmiennych: 9

10 Upraszczając funkcję przełączającą przy wykorzystaniu tablicy Karnaugha, należy pamiętać o następujących problemach: a. wiersze i kolumny tablicy Karnaugha opisane są w kodzie Greya, tzn. każdy kolejny wiersz i kolumna różnią się od siebie o negację jednej zmiennej; b. zakreślając jedynki (zera), tworzy się grupy liczące,, 8, 6, elementów; c. zawsze zakreśla się grupy z największą możliwą ilością jedynek (zer), przy czym należy pamiętać o możliwości sklejenia ze sobą krawędzi równoległych tablicy; d. grupy mogą posiadać części wspólne; e. liczba grup jedynek (zer) odpowiada liczbie składników sumy (iloczynu) poszukiwanej funkcji; f. w przypadku kiedy istnieje możliwość zakreślenia grup na kilka sposobów, arbitralnie wybiera się jeden z nich; g. dana grupa reprezentuje iloczyn (sumę) tych zmiennych, które nie zmieniają swojej wartości; h. w przypadku, gdy funkcja przełączająca posiada elementy o wartości nieokreślonej elementy te wpisujemy do tabeli, wprowadzając dla nich specjalne oznaczenie, np. a następnie wykorzystujemy lub pomijamy, w zależności od potrzeby przy tworzeniu grup (patrz punkt b). Dla przykładu zminimalizujemy funkcję opisaną zależnością: y Stosując zasadę a. tworzymy tablice Karnaugha, wypełniając ją jedynkami dla elementów funkcji, a pozostałe pola uzupełniamy zerami: W kolejnym kroku tworzymy dwie grupy zawierające po cztery elementy (według zasad b., c., d.): 0

11 Postępując według wytycznych e, f i g. odczytujemy zminimalizowaną postać funkcji przełączającej. W czteroelementowej grupie G wartości zmiennych i nie ulegają zmianie, ponieważ zakreślono grupę jedynek. Funkcja ta przyjmuje postać iloczynu G. Dla grupy G niezmienne wartości przyjmują parametry i, więc grupa ta przyjmuje postać G. Po zsumowaniu z grupą G otrzymamy ostatecznie poszukiwaną przez nas funkcję w postaci dysjunkcyjnej: y W celu wyznaczenia zminimalizowanej funkcji w postaci koniunkcyjnej należy zacząć od początku wypisywanie tablicy lub też skorzystać z tablicy wypisanej dla postaci dysjunkcyjnej, zakreślając w tym przypadku grupy zer: Postępując według wytycznych e., f., i g. otrzymujemy następujące grupy G (ponieważ jedynym niezmiennym parametrem w grupie jest i przyjmuje on wartość jeden) oraz G. Po pomnożeniu obu grup otrzymamy zminimalizowaną funkcję w postaci koniunkcyjnej:

12 y Metoda Quine a McCluskeya Metoda Quine a McCluskeya jest zwykle stosowana w przypadku minimalizacji funkcji wielu zmiennych, ponieważ wraz ze wzrostem ich liczby wzrasta też jej efektywność w stosunku do pozostałych metod. W celu minimalizacji funkcji przełączającej tą metodą postępujemy w następujący sposób: a. minimalizację rozpoczynamy od zapisania elementów funkcji przełączającej, dla których funkcja ta przyjmuje wartość jeden (zero); b. w kolejnym kroku uporządkowujemy elementy poprzez zapisanie ich w grupach zawierających identyczne ilości jedynek (zer), przy czym każda kolejna grupa powinna zawierać więcej jedynek (zer) od poprzedniej; c. w celu znalezienia implikantów prostych porównujemy każdy element w grupie z każdym elementem w grupie sąsiedniej zawierającej jedną jedynkę (zero) więcej; d. jeżeli elementy różnią się miedzy sobą tylko jednym indeksem, zaznaczamy je oba a w miejscu tego indeksu wstawiamy kreskę i przepisujemy nowo powstały element do następnej kolumny; e. procedurę d. powtarzamy z każdą nową kolumną aż do pozostania elementów niemożliwych do uproszczenia, gdzie elementy nieoznaczone stanowią poszukiwane implikanty proste; f. tworzymy tabelę, w której w pierwszym wierszu wpisujemy kolejne elementy funkcji przełączającej, a w kolumnie implikanty proste; g. jeżeli element funkcji spełnia implikant, to na ich przecięciu w tabeli wstawiamy ; h. zminimalizowaną funkcję przełączającą tworzymy z implikantów prostych, które pokrywają wszystkie elementy zadanej funkcji przełączającej; i. w przypadku gdy w funkcji występują elementy z wartością nieokreśloną wykorzystujemy je przy poszukiwaniu implikantów prostych, a pomijamy przy tworzeniu tabeli (patrz f). Dla przykładu zminimalizujemy wcześniejszą funkcję postaci: y,,,6,, 0

13 Zapisujemy elementy funkcji przełączającej, dla której przyjmuje ona wartość jeden w postaci binarnej (podpunkt a): b.): Wpisane elementy sortujemy i zapisujemy w grupach (podpunkt Przeszukujemy grupy w celu znalezienia implikantów prostych (podpunkt c. i d.). Na przykład elementy i różnią się sobą tylko jednym indeksem otrzymamy wtedy nowy wyraz w postaci 0-00, który następnie zapisujemy do nowej kolumny, zaznaczając jednocześnie elementy i : Procedurę d. powtarzamy z nowo otrzymaną kolumną elementów, otrzymując:

14 Ponieważ w ostatniej kolumnie znajdują się już tylko implikanty proste, wypisujemy je łącznie z pozostałymi nie oznaczonymi elementami (np. element ), otrzymując: Po wyznaczeniu implikantów wypełniamy tabelę postępując według kroków f., g. i h. Dla wybranych implikantów zminimalizowana funkcja przyjmuje postać końcową: y.. Synteza układów kombinacyjnych Wyróżniamy trzy podstawowe rodzaje syntezy układów kombinacyjnych: syntezę abstrakcyjną, która polega na ustaleniu liczby stanów wejść i wyjść oraz związków zachodzących pomiędzy nimi przy wykorzystaniu opisu słownego, wykresu czasowego, tablicy łączeń, itp.; syntezę strukturalną, której celem jest wykreślenie schematu logicznego. Realizuje się to po uprzednim zakodowaniu sygnałów wejściowych i wyjściowych oraz minimalizacji funkcji wyjściowej; syntezę techniczną, która polega na wykreśleniu schematu montażowego z wykorzystaniem wybranych elementów o odpowiednich charakterystykach statycznych i dynamicznych.. Literatura. Janusz KOWAL Podstawy automatyki T, Uczelniane Wydawnictwa Naukowo-Dydaktyczne AGH, Kraków 00, Sygnatura: Tadeusz Kaczorek Teoria sterowania. Tom I Układy liniowe ciągłe i dyskretne. Państwowe Wydawnictwo Naukowe, Warszawa 977

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów Podstawy Automatyki i Automatyzacji - Ćwiczenia Laboratoryjne mgr inż.

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów pneumatycznych i elektrycznych Podstawy Automatyki i Automatyzacji

Bardziej szczegółowo

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia:

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia: Warszawa 207 Cel ćwiczenia rachunkowego Podczas ćwiczenia poruszane będą następujące zagadnienia: modelowanie i synteza kombinacyjnych układów przełączających; minimalizacja funkcji przełączającej; projektowanie

Bardziej szczegółowo

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 12 - synteza i minimalizacja funkcji logicznych Instytut Automatyki i Robotyki Warszawa, 2017 Synteza funkcji logicznych Terminy - na bazie funkcji trójargumenowej y = (x 1, x 2, x 3 ) (1) Elementarny

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a i układy logiczne 1 Elementy logiki dla informatyków Wykład III Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a

Bardziej szczegółowo

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 1 Techniki Mikroprocesorowe dr inż. Artur Cichowski ix jy i j {0,1} {0,1} Dla układów kombinacyjnych stan dowolnego wyjścia y i w danej chwili czasu zależy wyłącznie od aktualnej kombinacji stanów

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych.

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych. DODATEK: FUNKCJE LOGICZNE CD. 1 FUNKCJE LOGICZNE 1. Tablice Karnaugha Do reprezentacji funkcji boolowskiej n-zmiennych można wykorzystać tablicę prawdy o 2 n wierszach lub np. tablice Karnaugha. Tablica

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Metoda Karnaugh. B A BC A

Metoda Karnaugh. B A BC A Metoda Karnaugh. Powszechnie uważa się, iż układ o mniejszej liczbie elementów jest tańszy i bardziej niezawodny, a spośród dwóch układów o takiej samej liczbie elementów logicznych lepszy jest ten, który

Bardziej szczegółowo

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2015 Kody liczb całkowitych nieujemnych Kody liczbowe dzielimy na analityczne nieanalityczne (symboliczne)

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

dr inż. Małgorzata Langer Architektura komputerów

dr inż. Małgorzata Langer Architektura komputerów Instrukcja współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie Innowacyjna dydaktyka bez ograniczeń zintegrowany rozwój Politechniki Łódzkiej zarządzanie Uczelnią,

Bardziej szczegółowo

Minimalizacja form boolowskich

Minimalizacja form boolowskich Sławomir Kulesza Technika cyfrowa Minimalizacja form boolowskich Wykład dla studentów III roku Informatyki Wersja 1.0, 05/10/2010 Minimalizacja form boolowskich Minimalizacja proces przekształcania form

Bardziej szczegółowo

Arytmetyka liczb binarnych

Arytmetyka liczb binarnych Wartość dwójkowej liczby stałoprzecinkowej Wartość dziesiętna stałoprzecinkowej liczby binarnej Arytmetyka liczb binarnych b n-1...b 1 b 0,b -1 b -2...b -m = b n-1 2 n-1 +... + b 1 2 1 + b 0 2 0 + b -1

Bardziej szczegółowo

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3.. ZASADY OGÓLNE 3... ZAPIS FUNKCJI Synteza układów przełączających to zespól czynności, które n-i podstawie założeń dotyczących działania układów doprowadza ją do schematu

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle" POKL

dr inż. Rafał Klaus Zajęcia finansowane z projektu Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle POKL Technika cyfrowa w architekturze komputerów materiał do wykładu 2/3 dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia na Politechnice Poznańskiej w zakresie technologii

Bardziej szczegółowo

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna.

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Architektura komputerów ćwiczenia Zbiór zadań IV Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Wprowadzenie 1 1 fragmenty książki "Organizacja i architektura systemu

Bardziej szczegółowo

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Temat lekcji: Minimalizacja funkcji logicznych Etapy lekcji: 1. Podanie tematu i określenie celu lekcji SOSOBY MINIMALIZACJI

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Algebra Boole a

Wstęp do Techniki Cyfrowej... Algebra Boole a Wstęp do Techniki Cyfrowej... Algebra Boole a Po co AB? Świetne narzędzie do analitycznego opisu układów logicznych. 1854r. George Boole opisuje swój system dedukcyjny. Ukoronowanie zapoczątkowanych w

Bardziej szczegółowo

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Podstawy Automatyki Człowiek- najlepsza inwestycja Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Politechnika Warszawska Instytut Automatyki i Robotyki Dr inż.

Bardziej szczegółowo

Synteza układów kombinacyjnych metodą tablic Karnaugha - ćwiczenie 7

Synteza układów kombinacyjnych metodą tablic Karnaugha - ćwiczenie 7 Synteza układów kombinacyjnych metodą tablic Karnaugha - ćwiczenie 7. Cel ćwiczenia: Celem ćwiczenia jest praktyczna realizacja układu kombinacyjnego na podstawie funkcji boolowskich wyznaczonych na zajęciach.

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 4 BADANIE BRAMEK LOGICZNYCH A. Cel ćwiczenia. - Poznanie zasad logiki binarnej. Prawa algebry Boole

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo

Minimalizacja formuł Boolowskich

Minimalizacja formuł Boolowskich Minimalizacja formuł Boolowskich Stosowanie reguł algebry Boole a w celu minimalizacji funkcji logicznych jest niedogodne brak metody, aby stwierdzić czy dana formuła może być jeszcze minimalizowana czasami

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

Minimalizacja funkcji boolowskich

Minimalizacja funkcji boolowskich Minimalizacja funkcji boolowskich Zagadnienie intensywnych prac badawczych od początku lat pięćdziesiątych 20 wieku. Ogromny wzrost zainteresowania minimalizacją f.b. powstał ponownie w latach 80. rzyczyna:

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji.

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji. Algebra Boole a Algebrą Boole a nazywamy zbiór B, wyróżnione jego podzbiory O i I oraz operacje dwuargumentowe +;, które dla dowolnych elementów X, Y, Z zbioru B spełniają następujące aksjomaty: X+Y B;

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia Opracował: dr inż. Jarosław Mierzwa KTER INFORMTKI TEHNIZNEJ Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 202 Temat: Układy kombinacyjne 1. el ćwiczenia Ćwiczenie ma na celu praktyczne zapoznanie

Bardziej szczegółowo

Cyfrowe bramki logiczne 2012

Cyfrowe bramki logiczne 2012 LORTORIUM ELEKTRONIKI yfrowe bramki logiczne 2012 ndrzej Malinowski 1. yfrowe bramki logiczne 3 1.1 el ćwiczenia 3 1.2 Elementy algebry oole`a 3 1.3 Sposoby zapisu funkcji logicznych 4 1.4 Minimalizacja

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

x x

x x DODTEK II - Inne sposoby realizacji funkcji logicznych W kolejnych podpunktach zaprezentowano sposoby realizacji przykładowej funkcji (tej samej co w instrukcji do ćwiczenia "Synteza układów kombinacyjnych")

Bardziej szczegółowo

Minimalizacja form boolowskich UC1, 2009

Minimalizacja form boolowskich UC1, 2009 Minimalizacja form boolowskich UC, 29 mplikanty funkcji boolowskiej UC, 29 2 mplikanty funkcji boolowskiej UC, 29 3 Metody minimalizacji UC, 29 4 Siatki Karnaugh UC, 29 5 Siatki Karnaugh UC, 29 Stosowanie

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1 Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1. Podstawowe operacje logiczne dla cyfr binarnych Jeśli cyfry 0 i 1 potraktujemy tak, jak wartości logiczne fałsz i prawda, to działanie

Bardziej szczegółowo

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2 WSTĘP O liczbie elementów użytych do budowy jakiegoś urządzenia elektronicznego, a więc i o możliwości obniżenia jego ceny, decyduje dzisiaj liczba zastosowanych w nim układów scalonych. Najstarszą rodziną

Bardziej szczegółowo

Laboratorium podstaw elektroniki

Laboratorium podstaw elektroniki 150875 Grzegorz Graczyk numer indeksu imie i nazwisko 150889 Anna Janicka numer indeksu imie i nazwisko Grupa: 2 Grupa: 5 kierunek Informatyka semestr 2 rok akademicki 2008/09 Laboratorium podstaw elektroniki

Bardziej szczegółowo

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18 Spis treści Przedmowa... 11 Wykaz oznaczeń... 13 1. Wstęp... 15 1.1. Układycyfrowe... 15 1.2. Krótki esej o projektowaniu.... 15 2. Układy kombinacyjne... 18 2.1. Podstawyprojektowaniaukładówkombinacyjnych...

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

UKŁADY KOMBINACYJNE (BRAMKI: AND, OR, NAND, NOR, NOT)

UKŁADY KOMBINACYJNE (BRAMKI: AND, OR, NAND, NOR, NOT) LORTORIUM PODSTWY ELEKTRONIKI UKŁDY KOMINCYJNE (RMKI: ND, OR, NND, NOR, NOT) Cel ćwiczenia Zapoznanie się z budową i zasadą działania podstawowych funktorów (bramek) układów kombinacyjnych, jak równieŝ

Bardziej szczegółowo

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych 98 3. Synteza układów kombimtcyjnych funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych ma postać y = a po ich uwzględnieniu y = oo ot 1-0 1 0 y S ODO ooi on oio w tu 101

Bardziej szczegółowo

W jakim celu to robimy? Tablica Karnaugh. Minimalizacja

W jakim celu to robimy? Tablica Karnaugh. Minimalizacja W jakim celu to robimy? W projektowaniu układów cyfrowych istotne jest aby budować je jak najmniejszym kosztem. To znaczy wykorzystanie dwóch bramek jest tańsze niż konieczność wykorzystania trzech dla

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej i Mikroelektroniki

Wstęp do Techniki Cyfrowej i Mikroelektroniki Wstęp do Techniki Cyfrowej i Mikroelektroniki dr inż. Maciej Piotrowicz Katedra Mikroelektroniki i Technik Informatycznych PŁ piotrowi@dmcs.p.lodz.pl http://fiona.dmcs.pl/~piotrowi -> Wstęp do... Układy

Bardziej szczegółowo

FUNKCJA KWADRATOWA. Zad 1 Przedstaw funkcję kwadratową w postaci ogólnej. Postać ogólna funkcji kwadratowej to: y = ax + bx + c;(

FUNKCJA KWADRATOWA. Zad 1 Przedstaw funkcję kwadratową w postaci ogólnej. Postać ogólna funkcji kwadratowej to: y = ax + bx + c;( Zad Przedstaw funkcję kwadratową w postaci ogólnej Przykład y = ( x ) + 5 (postać kanoniczna) FUNKCJA KWADRATOWA Postać ogólna funkcji kwadratowej to: y = ax + bx + c;( a 0) Aby ją uzyskać pozbywamy się

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

Minimalizacja funkcji boolowskich

Minimalizacja funkcji boolowskich Minimalizacja funkcji boolowskich Zagadnienie intensywnych prac badawczych od początku lat pięćdziesiątych 2 wieku. Ogromny wzrost zainteresowania minimalizacją f.b. powstał ponownie w latach 8. rzyczyna:

Bardziej szczegółowo

Minimalizacja funkcji boolowskich - wykład 2

Minimalizacja funkcji boolowskich - wykład 2 SWB - Minimalizacja funkcji boolowskich - wykład 2 asz 1 Minimalizacja funkcji boolowskich - wykład 2 Adam Szmigielski aszmigie@pjwstk.edu.pl Laboratorium robotyki s09 SWB - Minimalizacja funkcji boolowskich

Bardziej szczegółowo

Logika binarna. Prawo łączności mówimy, że operator binarny * na zbiorze S jest łączny gdy (x * y) * z = x * (y * z) dla każdego x, y, z S.

Logika binarna. Prawo łączności mówimy, że operator binarny * na zbiorze S jest łączny gdy (x * y) * z = x * (y * z) dla każdego x, y, z S. Logika binarna Logika binarna zajmuje się zmiennymi mogącymi przyjmować dwie wartości dyskretne oraz operacjami mającymi znaczenie logiczne. Dwie wartości jakie mogą te zmienne przyjmować noszą przy tym

Bardziej szczegółowo

0.1. Logika podstawowe pojęcia: zdania i funktory, reguły wnioskowania, zmienne zdaniowe, rachunek zdań.

0.1. Logika podstawowe pojęcia: zdania i funktory, reguły wnioskowania, zmienne zdaniowe, rachunek zdań. Wykłady z Analizy rzeczywistej i zespolonej w Matematyce stosowanej Wykład ELEMENTY LOGIKI ALGEBRA BOOLE A Logika podstawowe pojęcia: zdania i funktory, reguły wnioskowania, zmienne zdaniowe, rachunek

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW INSTYTUT YERNETYKI TEHNIZNEJ POLITEHNIKI WROŁWSKIEJ ZKŁD SZTUZNEJ INTELIGENJI I UTOMTÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 22 temat: UKŁDY KOMINYJNE. EL ĆWIZENI Ćwiczenie ma na

Bardziej szczegółowo

Przekształcanie schematów blokowych. Podczas ćwiczenia poruszane będą następujące zagadnienia:

Przekształcanie schematów blokowych. Podczas ćwiczenia poruszane będą następujące zagadnienia: Warszawa 2017 1 Cel ćwiczenia rachunkowego Podczas ćwiczenia poruszane będą następujące zagadnienia: zasady budowy schematów blokowych układów regulacji automatycznej na podstawie równań operatorowych;

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Funkcja Boolowska. f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest matematycznym modelem układu kombinacyjnego.

Funkcja Boolowska. f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest matematycznym modelem układu kombinacyjnego. SWB - Minimalizacja funkcji boolowskich - wykład 2 asz 1 Funkcja Boolowska Funkcja boolowskanargumentową nazywamy odwzorowanie f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

Wydział Fizyki UW CC=5V 4A 4B 4Y 3A 3B 3Y

Wydział Fizyki UW CC=5V 4A 4B 4Y 3A 3B 3Y Wydział Fizyki UW Pracownia fizyczna i elektroniczna (w tym komputerowa) dla Inżynierii Nanostruktur (00-INZ7) oraz Energetyki i hemii Jądrowej (00-ENPRFIZELEK) Ćwiczenie D Projekt układu cyfrowego Streszczenie

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Układy Logiczne i Cyfrowe

Układy Logiczne i Cyfrowe Układy Logiczne i Cyfrowe Wykład dla studentów III roku Wydziału Elektrycznego mgr inż. Grzegorz Lisowski Instytut Automatyki Podział układów cyfrowych elementy logiczne bloki funkcjonalne zespoły funkcjonalne

Bardziej szczegółowo

Algebra Boole a i jej zastosowania

Algebra Boole a i jej zastosowania lgebra oole a i jej zastosowania Wprowadzenie Niech dany będzie zbiór dwuelementowy, którego elementy oznaczymy symbolami 0 oraz 1, tj. {0, 1}. W zbiorze tym określamy działania sumy :, iloczynu : _ oraz

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212 KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki ów Cyfrowych ćwiczenie Temat: Automat asynchroniczny. Cel ćwiczenia Celem ćwiczenia jest nabycie praktycznej umiejętności projektowania

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ĆWICZENIE 1) UKŁADY PRZEŁĄCZAJĄCE OPARTE NA ELEMENTACH STYKOWYCH PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ZAPOZNANIE SIĘ Z TREŚCIĄ INSTRUKCJI CEL ĆWICZENIA: Celem ćwiczenia jest poznanie:

Bardziej szczegółowo

Algorytmy i struktury danych. Wykład 4

Algorytmy i struktury danych. Wykład 4 Wykład 4 Różne algorytmy - obliczenia 1. Obliczanie wartości wielomianu 2. Szybkie potęgowanie 3. Algorytm Euklidesa, liczby pierwsze, faktoryzacja liczby naturalnej 2017-11-24 Algorytmy i struktury danych

Bardziej szczegółowo

Automatyka Lab 1 Teoria mnogości i algebra logiki. Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu

Automatyka Lab 1 Teoria mnogości i algebra logiki. Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu Automatyka Lab 1 Teoria mnogości i algebra logiki Harmonogram zajęć Układy przełączające: 1. Algebra logiki - Wprowadzenie 2. Funkcje logiczne - minimalizacja funkcji 3. Bramki logiczne - rysowanie układów

Bardziej szczegółowo

Automatyzacja Ćwicz. 2 Teoria mnogości i algebra logiki Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu

Automatyzacja Ćwicz. 2 Teoria mnogości i algebra logiki Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu Automatyzacja Ćwicz. 2 Teoria mnogości i algebra logiki Historia teorii mnogości Teoria mnogości to inaczej nauka o zbiorach i ich własnościach; Zapoczątkowana przez greckich matematyków i filozofów w

Bardziej szczegółowo

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały:

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały: Dr inż Jan Chudzikiewicz Pokój 7/65 Tel 683-77-67 E-mail: jchudzikiewicz@watedupl Materiały: http://wwwitawatedupl/~jchudzikiewicz/ Warunki zaliczenie: Otrzymanie pozytywnej oceny z kolokwium zaliczeniowego

Bardziej szczegółowo

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie Opracował: dr hab. inż. Jan Magott KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 207 Temat: Automaty Moore'a i Mealy 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium.

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Zagadnienia do samodzielnego opracowania: rola sygnału taktującego (zegara) w układach synchronicznych; co robi sygnał CLEAR (w

Bardziej szczegółowo

Arytmetyka komputera. Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka. Opracował: Kamil Kowalski klasa III TI

Arytmetyka komputera. Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka. Opracował: Kamil Kowalski klasa III TI Arytmetyka komputera Na podstawie podręcznika Urządzenia techniki komputerowej Tomasza Marciniuka Opracował: Kamil Kowalski klasa III TI Spis treści 1. Jednostki informacyjne 2. Systemy liczbowe 2.1. System

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

Systemy wbudowane. Wprowadzenie. Nazwa. Oznaczenia. Zygmunt Kubiak. Sterowniki PLC - Wprowadzenie do programowania (1)

Systemy wbudowane. Wprowadzenie. Nazwa. Oznaczenia. Zygmunt Kubiak. Sterowniki PLC - Wprowadzenie do programowania (1) ybrane funkcje logiczne prowadzenie L L2 Y Nazwa Oznaczenia Y Sterowniki PLC - prowadzenie do programowania () Proste przykłady Załączenie jednego z dwóch (lub obu) przełączników lub powoduje zapalenie

Bardziej szczegółowo

Laboratorium podstaw elektroniki

Laboratorium podstaw elektroniki 150875 Grzegorz Graczyk numer indeksu imie i nazwisko 150889 Anna Janicka numer indeksu imie i nazwisko Grupa: 2 Grupa: 5 kierunek Informatyka semestr 2 rok akademicki 2008/09 Laboratorium podstaw elektroniki

Bardziej szczegółowo

3. FUNKCJA LINIOWA. gdzie ; ół,.

3. FUNKCJA LINIOWA. gdzie ; ół,. 1 WYKŁAD 3 3. FUNKCJA LINIOWA FUNKCJĄ LINIOWĄ nazywamy funkcję typu : dla, gdzie ; ół,. Załóżmy na początek, że wyraz wolny. Wtedy mamy do czynienia z funkcją typu :.. Wykresem tej funkcji jest prosta

Bardziej szczegółowo

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1 Plan wykładu 1. Wprowadzenie, funkcje boolowskie i bramki logiczne, 2. Minimalizacja funkcji boolowskich, 3. Kombinacyjne bloki

Bardziej szczegółowo

5. Rozwiązywanie układów równań liniowych

5. Rozwiązywanie układów równań liniowych 5. Rozwiązywanie układów równań liniowych Wprowadzenie (5.1) Układ n równań z n niewiadomymi: a 11 +a 12 x 2 +...+a 1n x n =a 10, a 21 +a 22 x 2 +...+a 2n x n =a 20,..., a n1 +a n2 x 2 +...+a nn x n =a

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów

Wstęp do Techniki Cyfrowej... Teoria automatów Wstęp do Techniki Cyfrowej... Teoria automatów Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych. Zwiększenie stopnia

Bardziej szczegółowo

PODSTAWY AUTOMATYKI. MATLAB - komputerowe środowisko obliczeń naukowoinżynierskich - podstawowe operacje na liczbach i macierzach.

PODSTAWY AUTOMATYKI. MATLAB - komputerowe środowisko obliczeń naukowoinżynierskich - podstawowe operacje na liczbach i macierzach. WYDZIAŁ ELEKTROTECHNIKI I AUTOMATYKI Katedra Inżynierii Systemów Sterowania PODSTAWY AUTOMATYKI MATLAB - komputerowe środowisko obliczeń naukowoinżynierskich - podstawowe operacje na liczbach i macierzach.

Bardziej szczegółowo

Podstawy Automatyki. Wykład 12 - Układy przekaźnikowe. dr inż. Jakub Możaryn. Warszawa, 2015. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 12 - Układy przekaźnikowe. dr inż. Jakub Możaryn. Warszawa, 2015. Instytut Automatyki i Robotyki Wykład 12 - Układy przekaźnikowe Instytut Automatyki i Robotyki Warszawa, 2015 Projektowanie układów kombinacyjnych Układy kombinacyjne są realizowane: w technice stykowo - przekaźnikowej, z elementów

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Ćwiczenie PA1. Realizacja układów dyskretnych z przekaźników i bramek NAND

Ćwiczenie PA1. Realizacja układów dyskretnych z przekaźników i bramek NAND - laboratorium Ćwiczenie PA Realizacja układów dyskretnych z przekaźników i bramek NAND Instrukcja laboratoryjna Opracował : dr inŝ. Wieńczysław J. Kościelny Człowiek - najlepsza inwestycja Projekt współfinansowany

Bardziej szczegółowo

Luty 2001 Algorytmy (7) 2000/2001 s-rg@siwy.il.pw.edu.pl

Luty 2001 Algorytmy (7) 2000/2001 s-rg@siwy.il.pw.edu.pl System dziesiętny 7 * 10 4 + 3 * 10 3 + 0 * 10 2 + 5 *10 1 + 1 * 10 0 = 73051 Liczba 10 w tym zapisie nazywa się podstawą systemu liczenia. Jeśli liczba 73051 byłaby zapisana w systemie ósemkowym, co powinniśmy

Bardziej szczegółowo

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ĆWICZENIE 1) UKŁADY PRZEŁĄCZAJĄCE OPARTE NA ELEMENTACH STYKOWYCH PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ZAPOZNANIE SIĘ Z TREŚCIĄ INSTRUKCJI CEL ĆWICZENIA: Celem ćwiczenia jest poznanie:

Bardziej szczegółowo

Wstęp. Przygotowanie teoretyczne

Wstęp. Przygotowanie teoretyczne Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LORATORIUM Teoria Automatów Temat ćwiczenia: Hazardy L.p. Imię i nazwisko Grupa ćwiczeniowa: Poniedziałek 8.00 Ocena Podpis 1. 2. 3. 4. Krzysztof

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Układy kombinacyjne

Wstęp do Techniki Cyfrowej... Układy kombinacyjne Wstęp do Techniki Cyfrowej... Układy kombinacyjne Przypomnienie Stan wejść układu kombinacyjnego jednoznacznie określa stan wyjść. Poszczególne wyjścia określane są przez funkcje boolowskie zmiennych wejściowych.

Bardziej szczegółowo

Funkcje liniowe i wieloliniowe w praktyce szkolnej. Opracowanie : mgr inż. Renata Rzepińska

Funkcje liniowe i wieloliniowe w praktyce szkolnej. Opracowanie : mgr inż. Renata Rzepińska Funkcje liniowe i wieloliniowe w praktyce szkolnej Opracowanie : mgr inż. Renata Rzepińska . Wprowadzenie pojęcia funkcji liniowej w nauczaniu matematyki w gimnazjum. W programie nauczania matematyki w

Bardziej szczegółowo

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Olimpiada O Diamentowy Indeks AGH 2017/18. Informatyka Etap III

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Olimpiada O Diamentowy Indeks AGH 2017/18. Informatyka Etap III Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Olimpiada O Diamentowy Indeks AGH 017/18 Informatyka Etap III Zadania po 17 punktów Zadanie 1 Dla pewnej N-cyfrowej liczby naturalnej obliczono

Bardziej szczegółowo