Podstawy Informatyki Elementarne podzespoły komputera

Wielkość: px
Rozpocząć pokaz od strony:

Download "Podstawy Informatyki Elementarne podzespoły komputera"

Transkrypt

1 Podstawy Informatyki

2 Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali 4 Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera

3 Reprezentacja informacji Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne Zmienna binarna Przyjmuje tylko dwie wartości, umownie oznaczane jako 0 (stan niski) lub 1 (stan wysoki) i nazywane bitami n-bitowe słowo binarne Wektor informacji cyfrowej przesyłany do (lub z) pamięci operacyjnej za jednym razem Przyjmuje 2 n wartości Wartość n jest ściśle określona dla każdej maszyny cyfrowej Komputer wykonując działania na słowach wykonuje operacje na poszczególnych bitach

4 Reprezentacja informacji Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne Zmienna binarna Przyjmuje tylko dwie wartości, umownie oznaczane jako 0 (stan niski) lub 1 (stan wysoki) i nazywane bitami n-bitowe słowo binarne Wektor informacji cyfrowej przesyłany do (lub z) pamięci operacyjnej za jednym razem Przyjmuje 2 n wartości Wartość n jest ściśle określona dla każdej maszyny cyfrowej Komputer wykonując działania na słowach wykonuje operacje na poszczególnych bitach

5 Podstawowe bramki logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne Bramka logiczna realizuje fizycznie prostą funkcję logiczną na bitach. NOT - negacja XOR - suma modulo 2 x x x y x y OR - suma logiczna NOR - zanegowana suma logiczna x y x + y x y x + y AND - iloczyn logiczny NAND - zanegowany iloczyn logiczny x y xy x y xy

6 Podstawowe funkcje logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne x y x x + y xy x y x + y xy NOT (x) = x OR(x, y) = x + y AND(x, y) = xy XOR(x, y) = x y NOR(x, y) = x + y NAND(x, y) = xy

7 Podstawowe funkcje logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne x y x x + y xy x y x + y xy NOT (x) = x OR(x, y) = x + y AND(x, y) = xy XOR(x, y) = x y NOR(x, y) = x + y NAND(x, y) = xy

8 Podstawowe funkcje logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne x y x x + y xy x y x + y xy NOT (x) = x OR(x, y) = x + y AND(x, y) = xy XOR(x, y) = x y NOR(x, y) = x + y NAND(x, y) = xy

9 Podstawowe funkcje logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne x y x x + y xy x y x + y xy NOT (x) = x OR(x, y) = x + y AND(x, y) = xy XOR(x, y) = x y NOR(x, y) = x + y NAND(x, y) = xy

10 Podstawowe funkcje logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne x y x x + y xy x y x + y xy NOT (x) = x OR(x, y) = x + y AND(x, y) = xy XOR(x, y) = x y NOR(x, y) = x + y NAND(x, y) = xy

11 Podstawowe funkcje logiczne Reprezentacja informacji Podstawowe bramki logiczne Podstawowe funkcje logiczne x y x x + y xy x y x + y xy NOT (x) = x OR(x, y) = x + y AND(x, y) = xy XOR(x, y) = x y NOR(x, y) = x + y NAND(x, y) = xy

12 Przerzutnik Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Układ mający 2 stany stabilne Zmiana stanu z jednego na drugi dokonuje się poprzez krótkotrwały impuls doprowadzony do układu z zewnątrz O stanie wyjść przerzutnika decyduje nie tylko aktualny stan jego wejść ale także jego stan poprzedni Przerzutnik służy do zapamiętywania informacji o pojemności równej 1 bitowi

13 Przerzutnik SR Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Układ bez punktu równowagi p = 1, 0, 1, 0,... lub p = 0, 1, 0, 1,... p

14 Przerzutnik SR Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Układ bez punktu równowagi p = 1, 0, 1, 0,... lub p = 0, 1, 0, 1,... p Układ z dwoma punktami równowagi p = 1, 1, 1,... lub p = 0, 0, 0,... p

15 Przerzutnik SR Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Układ bez punktu równowagi p = 1, 0, 1, 0,... lub p = 0, 1, 0, 1,... p Układ z dwoma punktami równowagi p = 1, 1, 1,... lub p = 0, 0, 0,... p Stąd wywodzi się przerzutnik SR (zał. sr = 0) r s q q

16 Przerzutniki Przerzutnik SR Rejestry Liczniki Przerzutnik SR i jego rozszerzenie Przerzutnik SR (S - set, R - reset) s k r k q k q k q k+1 = r k (q k + s k )

17 Przerzutniki Przerzutnik SR Rejestry Liczniki Przerzutnik SR i jego rozszerzenie Przerzutnik SR (S - set, R - reset) s k r k q k q k q k+1 = r k (q k + s k ) Przerzutnik JK (J - set, K- reset, JK - zamiana) j k k k q k q k q k+1 = q k j k + q k k k

18 Rejestry Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Rejestr Uporządkowany zbiór przerzutników służących do przechowywania wektora informacji cyfrowej. Można wśród nich wyróżnić rejestry asynchroniczne i synchroniczne. Parametrami charakteryzującymi rejestr są: długość rejestru, równa liczbie przerzutników N pojemność rejestru, równa 2 N szybkość rejestru, czyli czas propagacji zastosowanych przerzutników

19 Podział rejestrów Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Ze względu na sposób wprowadzania i wyprowadzania informacji rejestry dzieli się na: szeregowe, tj. szeregowo-szeregowe - SISO (Serial In Serial Out) równoległe, tj. równoległo-równoległe - PIPO (Parallel In Parallel Out) szeregowo-równoległe - SIPO (Serial In Parallel Out) równoległo szeregowe - PISO (Parallel In Serial Out)

20 Przerzutniki Przerzutnik SR Rejestry Liczniki Realizacja wpisu do rejestru równoległego ZE WSTĘPNYM ZEROWANIEM

21 Przerzutniki Przerzutnik SR Rejestry Liczniki Realizacja wpisu do rejestru równoległego ZE WSTĘPNYM ZEROWANIEM Z WEJŚCIEM FORSOWANYM

22 Przerzutniki Przerzutnik SR Rejestry Liczniki Realizacja wpisu do rejestru równoległego ZE WSTĘPNYM ZEROWANIEM Z WEJŚCIEM FORSOWANYM Symbol przesyłu między rejestrami

23 Liczniki Plan wykładu Przerzutniki Przerzutnik SR Rejestry Liczniki Licznik Układ sekwencyjny cyfrowy służący do zliczania i pamiętania liczby impulsów podawanych na jego wejście zliczające. Licznik zbudowany jest z przerzutników. Liczniki możemy dzielić na: synchroniczne, asynchroniczne liczące w przód, w tył lub rewersyjne binarne, dziesiętne, inne (pierścieniowy, Johnsona, Graya itd.)

24 Plan wykładu Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Magistrala Zbiór równoległych linii przewodów do których przyłączane są wyjścia rejestrów źródłowych i wejścia rejestrów odbiorczych. Umożliwia przesyły między rejestrami Szerokość magistrali - liczba bitów, które mogą być przesłane w jednym takcie Rozróżniane są 2 typy magistrali: jednokierunkowa (dane przepływają tylko w jednym kierunku) dwukierunkowa (dane przepływać mogą w obu kierunkach)

25 Problemy przy projektowaniu magistral Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Sygnał rozchodzi się na magistrali ze skończoną prędkością, stąd zniekształcenia i opóźnienia.

26 Problemy przy projektowaniu magistral Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Sygnał rozchodzi się na magistrali ze skończoną prędkością, stąd zniekształcenia i opóźnienia.

27 Sygnały poziomowe i impulsowe Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Sygnał wyjściowy powinien zostać wysłany wcześniej i trwać dłuższy okres czasu, aby być sygnałem stabilnym w momencie odczytu.

28 Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Przesyły międzyrejestrowe za pośrednictwem magistrali

29 Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Przesyły międzyrejestrowe za pośrednictwem magistrali (C) A (C) B wyc, wea wyc, web

30 Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Przesyły międzyrejestrowe za pośrednictwem magistrali (C) A (C) B (C) A, B wyc, wea wyc, web wyc, wea, web

31 Multipleksowanie Plan wykładu Magistrala Problemy przy projektowaniu magistral Sygnały poziomowe i impulsowe Przesyły międzyrejestrowe za pośrednictwem magistrali Multipleksowanie Multipleksowanie - realizacja przesyłów między magistralami. Istnieją dwa typy: rozwidlające - wpisanie stanu jednej magistrali na jedną z kilku wybranych koncentrujące - przepisanie stanu wybranej z kilku magistral na daną

32 Zapis informacji binarnej Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Informacja binarna może być zapisana za pomocą N uporządkowanych zmiennych binarnych jako: Kod 1 z N - zbiorowi danych elementarnych odpowiada równoliczny zbiór zmiennych binarnych Naturalny kod binarny - zbiorowi danych elementarnych odpowiada kombinacja zmiennych binarnych (mając N zmiennych binarnych można zapisać 2 N danych elementarnych)

33 Koder i Dekoder Plan wykładu Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Koder Zamienia kod 1 z N na naturalny kod binarny o długości K (N = 2 K ).

34 Koder i Dekoder Plan wykładu Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Koder Zamienia kod 1 z N na naturalny kod binarny o długości K (N = 2 K ). Dekoder Zamienia naturalny kod binarny o długości K na kod 1 z N (N = 2 K ).

35 Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Przykład - koder dwójkowy podsiadający 8 wejść i 3 wyjścia Tablica zależności nr wejścia kodera z 2 z 1 z

36 Równania zmiennych Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Tablica zależności nr wejścia kodera z 2 z 1 z Równania zmiennych dla kodera z 0 = L 1 + L 3 + L 5 + L 7 z 1 = L 2 + L 3 + L 6 + L 7 z 2 = L 4 + L 5 + L 6 + L 7

37 Równania zmiennych Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Tablica zależności nr wejścia kodera z 2 z 1 z Równania zmiennych dla kodera dekodera z 0 = L 1 + L 3 + L 5 + L 7 L 0 = z 2 z 1 z 0 z 1 = L 2 + L 3 + L 6 + L 7 L 1 = z 2 z 1 z 0 z 2 = L 4 + L 5 + L 6 + L 7... L 7 = z 2 z 1 z 0

38 Zapis informacji binarnej Koder i dekoder Przykład realizacji kodera i dekodera Realizacja kodera i dekodera z użyciem bramek logicznych z 0 = L 1 + L 3 + L 5 + L 7 L 0 = z 2 z 1 z 0 z 1 = L 2 + L 3 + L 6 + L 7 L 1 = z 2 z 1 z 0 z 2 = L 4 + L 5 + L 6 + L 7... L 7 = z 2 z 1 z 0 L 1 L3 L 5 L 7 z 0 z 0 z 1 z 2 L 0 L 2 L3 L 6 L 7 z 1 z 0 z 1 z 2 L 1 L 4 L5 L 6 L 7 z 2 z 0 z 1 z 2 L 7

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

PODSTAWY TEORII UKŁADÓW CYFROWYCH

PODSTAWY TEORII UKŁADÓW CYFROWYCH PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY KODUJĄCE Kodery Kodery Kodery służą do przedstawienia informacji z tylko jednego aktywnego wejścia na postać binarną. Ponieważ istnieje fizyczna możliwość jednoczesnej

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

Sekwencyjne bloki funkcjonalne

Sekwencyjne bloki funkcjonalne ekwencyjne bloki funkcjonalne Układy sekwencyjne bloki funkcjonalne 2/28 ejestry - układy do przechowywania informacji, charakteryzujące się róŝnymi metodami jej zapisu lub odczytu a) b) we wy we... we

Bardziej szczegółowo

WSTĘP DO ELEKTRONIKI

WSTĘP DO ELEKTRONIKI WSTĘP DO ELEKTRONIKI Część VII Układy cyfrowe Janusz Brzychczyk IF UJ Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

Układy Logiczne i Cyfrowe

Układy Logiczne i Cyfrowe Układy Logiczne i Cyfrowe Wykład dla studentów III roku Wydziału Elektrycznego mgr inż. Grzegorz Lisowski Instytut Automatyki Podział układów cyfrowych elementy logiczne bloki funkcjonalne zespoły funkcjonalne

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów LABORATORIUM TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA Badanie rejestrów Opracował: Tomasz Miłosławski Wymagania, znajomość zagadnień: 1. Typy, parametry, zasada działania i tablice stanów przerzutników

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne... Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...4 Podział układów logicznych...6 Cyfrowe układy funkcjonalne...8 Rejestry...8

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Układy kombinacyjne 1

Układy kombinacyjne 1 Układy kombinacyjne 1 Układy kombinacyjne są to układy cyfrowe, których stany wyjść są zawsze jednoznacznie określone przez stany wejść. Oznacza to, że doprowadzając na wejścia tych układów określoną kombinację

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Arytmetyka liczb binarnych

Arytmetyka liczb binarnych Wartość dwójkowej liczby stałoprzecinkowej Wartość dziesiętna stałoprzecinkowej liczby binarnej Arytmetyka liczb binarnych b n-1...b 1 b 0,b -1 b -2...b -m = b n-1 2 n-1 +... + b 1 2 1 + b 0 2 0 + b -1

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW e-version: dr inż. Tomasz apłon INTYTUT YBENETYI TEHNIZNE PLITEHNII WŁAWIE ZAŁA ZTUZNE INTELIGENI I AUTMATÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 23 temat: UŁAY EWENYNE. EL ĆWIZENIA

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia Opracował: mgr inż. Antoni terna ATEDA INFOMATYI TEHNIZNE Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 203 Temat: Układy sekwencyjne 1. el ćwiczenia elem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Podstawy Informatyki JA-L i Pamięci

Podstawy Informatyki JA-L i Pamięci Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Operator elementarny Proste układy z akumulatorem Realizacja dodawania Realizacja JAL dla pojedynczego bitu 2 Parametry

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów służy do przechowywania danych. Wybór źródła

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. PTC 2015/2016 Magistrale W układzie cyfrowym występuje bank rejestrów do przechowywania

Bardziej szczegółowo

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi.

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi. 72 WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. ą najprostszymi układami pamięciowymi. PZEZUTNIK WY zapamietanie skasowanie Przerzutmik zapamiętuje zmianę

Bardziej szczegółowo

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład)

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład) Wstęp doinformatyki Układy logiczne komputerów kombinacyjne sekwencyjne Układy logiczne Układy kombinacyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 synchroniczne asynchroniczne Wstęp

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

Mikrooperacje. Mikrooperacje arytmetyczne

Mikrooperacje. Mikrooperacje arytmetyczne Przygotowanie: Przemysław Sołtan e-mail: kerk@moskit.ie.tu.koszalin.pl Mikrooperacje Mikrooperacja to elementarna operacja wykonywana podczas jednego taktu zegara mikroprocesora na informacji przechowywanej

Bardziej szczegółowo

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1 Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1. Podstawowe operacje logiczne dla cyfr binarnych Jeśli cyfry 0 i 1 potraktujemy tak, jak wartości logiczne fałsz i prawda, to działanie

Bardziej szczegółowo

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze.

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze. Lista zadań do poszczególnych tematów ćwiczeń. MIERNICTWO ELEKTRYCZNE I ELEKTRONICZNE Studia stacjonarne I stopnia, rok II, 2010/2011 Prowadzący wykład: Prof. dr hab. inż. Edward Layer ćw. 15h Tematyka

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE Podstawowymi bramkami logicznymi są układy stanowiące: - funktor typu AND (funkcja

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

Logiczny model komputera i działanie procesora. Część 1.

Logiczny model komputera i działanie procesora. Część 1. Logiczny model komputera i działanie procesora. Część 1. Klasyczny komputer o architekturze podanej przez von Neumana składa się z trzech podstawowych bloków: procesora pamięci operacyjnej urządzeń wejścia/wyjścia.

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ KDEMI MORSK KTEDR NWIGCJI TECHNICZEJ ELEMETY ELEKTRONIKI LORTORIUM Kierunek NWIGCJ Specjalność Transport morski Semestr II Ćw. 4 Podstawy techniki cyfrowej Wersja opracowania Marzec 5 Opracowanie: mgr

Bardziej szczegółowo

AHDL - Język opisu projektu. Podstawowe struktury języka. Komentarz rozpoczyna znak i kończy znak %. SUBDESIGN

AHDL - Język opisu projektu. Podstawowe struktury języka. Komentarz rozpoczyna znak i kończy znak %. SUBDESIGN AHDL - Język opisu projektu. Podstawowe struktury języka Przykładowy opis rewersyjnego licznika modulo 64. TITLE "Licznik rewersyjny modulo 64 z zerowaniem i zapisem"; %------------------------------------------------------------

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające 2 Cyfrowe układy sekwencyjne Cel ćwiczenia LABORATORIUM ELEKTRONIKI Celem ćwiczenia jest zapoznanie się z cyfrowymi elementami pamiętającymi, budową i zasada działania podstawowych przerzutników oraz liczników

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Laboratorium Techniki Cyfrowej i Mikroprocesorowej Ćwiczenie IV Opracowano na podstawie

Bardziej szczegółowo

Podstawy Informatyki Układ sterujący

Podstawy Informatyki Układ sterujący - wersja szyta - wersja mikroprogramowana Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi - wersja szyta - wersja mikroprogramowana Plan wykładu 1 Maszyna W Lista rozkazów maszyny

Bardziej szczegółowo

Układy kombinacyjne - przypomnienie

Układy kombinacyjne - przypomnienie SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy kombinacyjne - przypomnienie W układzie kombinacyjnym wyjście zależy tylko od wejść, SWB - Układy sekwencyjne - wiadomości podstawowe

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

SYSTEMY WBUDOWANE I MIKROPROCESORY. Aleksandra Zalewska nr SPRAWOZDANIE NR 1

SYSTEMY WBUDOWANE I MIKROPROCESORY. Aleksandra Zalewska nr SPRAWOZDANIE NR 1 2013 SYSTEMY WBUDOWANE I MIKROPROCESORY Aleksandra Zalewska nr 14169 SPRAWOZDANIE NR 1 1 QUARTUS II WPROWADZENIE DO QUARTUSA Program Quartus II firmy Altera jest programem umożliwiającym na projektowanie

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 3 Techniki Mikroprocesorowe dr inż. Artur Cichowski Automat skończony jest przetwornikiem ciągu symboli wejściowych na ciąg symboli wyjściowych. Zbiory symboli wejściowych x X i wyjściowych y

Bardziej szczegółowo

Temat 5. Podstawowe bloki funkcjonalne

Temat 5. Podstawowe bloki funkcjonalne Temat 5. Podstawowe bloki funkcjonalne Spis treści do tematu 5 5.. Cyfrowe bloki komutacyjne 5.2. Przerzutniki 5.3. Liczniki 5.4. Rejestry 5.6. Układy arytmetyczne 5.7. Literatura fizyka.p.lodz.pl/pl/dla-studentow/tc/

Bardziej szczegółowo

Temat 7. Dekodery, enkodery

Temat 7. Dekodery, enkodery Temat 7. Dekodery, enkodery 1. Pojęcia: koder, dekoder, enkoder, konwerter kodu, transkoder, enkoder priorytetowy... Koderami (lub enkoderami) nazywamy układy realizujące proces zamiany informacji kodowanej

Bardziej szczegółowo

A B. 12. Uprość funkcję F(abc) = (a + a'b + c + c')a

A B. 12. Uprość funkcję F(abc) = (a + a'b + c + c')a Lp. Pytania 1. Jaką liczbę otrzymamy w wyniku konwersji z systemu szesnastkowego liczby 81AF (16) na system binarny? 2. Zapisz tabelę działania opisującą bramkę logiczną, której symbol graficzny przedstawia

Bardziej szczegółowo

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2015 Kody liczb całkowitych nieujemnych Kody liczbowe dzielimy na analityczne nieanalityczne (symboliczne)

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych.

Bardziej szczegółowo

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5.

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Klasa III Opracuj projekt realizacji prac związanych z badaniem działania cyfrowych bloków arytmetycznych realizujących operacje

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Table of Contents. Table of Contents UniTrain-I Kursy UniTrain Kursy UniTrain: Technika cyfrowa. Lucas Nülle GmbH 1/7

Table of Contents. Table of Contents UniTrain-I Kursy UniTrain Kursy UniTrain: Technika cyfrowa. Lucas Nülle GmbH 1/7 Table of Contents Table of Contents UniTrain-I Kursy UniTrain Kursy UniTrain: Technika cyfrowa 1 2 2 3 Lucas Nülle GmbH 1/7 www.lucas-nuelle.pl UniTrain-I UniTrain is a multimedia e-learning system with

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

Technika Cyfrowa 1. Wykład 7 Cyfrowe bloki funkcjonalne IV CYFROWE BLOKI FUNKCJONALNE

Technika Cyfrowa 1. Wykład 7 Cyfrowe bloki funkcjonalne IV CYFROWE BLOKI FUNKCJONALNE IV YFROW LOI FUNONLN Technika yfrowa Wykład yfrowe bloki funkcjonalne dr inż. arosław Sugier aroslaw.sugier@pwr.wroc.pl IIR, pok. - UŁY ONWRSI OÓW. ody od = sposób reprezentacji sygnału cyfrowego za pomocą

Bardziej szczegółowo

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne 1. Bit Pozycja rejestru lub komórki pamięci służąca do przedstawiania (pamiętania) cyfry w systemie (liczbowym)

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

Przykładowe pytania DSP 1

Przykładowe pytania DSP 1 Przykładowe pytania SP Przykładowe pytania Systemy liczbowe. Przedstawić liczby; -, - w kodzie binarnym i hexadecymalnym uzupełnionym do dwóch (liczba 6 bitowa).. odać dwie liczby binarne w kodzie U +..

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2 WSTĘP O liczbie elementów użytych do budowy jakiegoś urządzenia elektronicznego, a więc i o możliwości obniżenia jego ceny, decyduje dzisiaj liczba zastosowanych w nim układów scalonych. Najstarszą rodziną

Bardziej szczegółowo

Wykład 2. Informatyka Stosowana. 8 października 2018, M. A-B. Informatyka Stosowana Wykład 2 8 października 2018, M. A-B 1 / 41

Wykład 2. Informatyka Stosowana. 8 października 2018, M. A-B. Informatyka Stosowana Wykład 2 8 października 2018, M. A-B 1 / 41 Wykład 2 Informatyka Stosowana 8 października 2018, M. A-B Informatyka Stosowana Wykład 2 8 października 2018, M. A-B 1 / 41 Elementy logiki matematycznej Informatyka Stosowana Wykład 2 8 października

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY Cel ćwiczenia Zapoznanie się z budową i zasadą działania rejestrów cyfrowych wykonanych w ramach TTL. Zestawienie przyrządów i połączenie rejestru by otrzymać

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Wykład jest przygotowany dla IV semestru kierunku Elektronika i Telekomunikacja. Studia I stopnia Dr inż. Małgorzata Langer Architektura komputerów Prezentacja multimedialna współfinansowana przez Unię

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające

Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Liczniki klasyfikacja Licznik asynchroniczny:

Bardziej szczegółowo

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01 ĆWICZENIE 01 Ćwiczenie 01 - Strona nr 1 Polecenie: Bez użycia narzędzi elektronicznych oraz informatycznych, wykonaj konwersje liczb z jednego systemu liczbowego (BIN, OCT, DEC, HEX) do drugiego systemu

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo