ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH

Wielkość: px
Rozpocząć pokaz od strony:

Download "ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH"

Transkrypt

1 Tadeusz Łuba Instytut Telekomunikacji Politechniki Warszawskiej Nowowiejska 15/19, Warszawa ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH Słowa kluczowe: synteza logiczna, układy PLD i FPGA, dekompozycja STRESZCZENIE Celem referatu jest promocja metod i narzędzi syntezy logicznej w aspekcie ich zastosowania w projektowaniu układów cyfrowych. W szczególności omówione są aktualnie badane metody syntezy układów wielopoziomowych i dekompozycji, które jak wskazują wyniki eksperymentów technologicznych i komputerowych prawdopodobnie zdominują implementacje układów logicznych w przyszłości. Podsumowaniem referatu jest krótki opis uniwersyteckich narzędzi komputerowej syntezy logicznej. 1. WPROWADZENIE Synteza układów logicznych jest gałęzią wiedzy, która w ostatnich latach rozwijała się niezwykle intensywnie, a jej zastosowania szybko przekroczyły granice tradycyjnej dziedziny układów cyfrowych, dochodząc do obszarów wiedzy zaliczanej do szeroko rozumianych technik informacyjnych i sztucznej inteligencji (eksploracja i pozyskiwanie wiedzy, komputerowe uczenie się, systemy ekspertowe). Przede wszystkim jednak rozwój nowoczesnej syntezy logicznej był stymulowany zapotrzebowaniem wielkich koncernów przemysłu komputerowego i elektronicznego, jak też błyskawicznie rozwijającymi się w ostatnich latach firmami produkującymi układy scalone ASIC oraz komputerowe narzędzia do ich projektowania. W szczególności zapotrzebowanie na komputerowe narzędzia projektowania oraz coraz trudniejsze wyzwania stawiane przez technologie układów scalonych stały się najważniejszym czynnikiem rozwoju syntezy logicznej. Dla wielu nowoczesnych technologii znaczący wpływ na ostateczną realizację ma właśnie etap syntezy logicznej. Okazało się to bardzo istotne w technice układów programowalnych przez użytkownika, jakkolwiek szereg zadań techniki Full Custom i Semi Custom również charakteryzuje się dużą podatnością na transformacje logiczne. Daje się zauważyć tendencja wprowadzania syntezy logicznej do zadań do tej pory typowych dla mikroelektroniki, na przykład do zadań związanych z redukcją poboru mocy [4]. W referacie omówione będą aktualnie badane zagadnienia syntezy logicznej z podziałem całej tematyki na typowe struktury i modele układów logicznych, w szczególności w punkcie 2 omówiona będzie synteza układów dwupoziomowych, a w punkcie 3 wielopoziomowych i dekompozycja funkcji boolowskich. Wreszcie synteza układów sekwencyjnych oraz uniwersyteckie systemy syntezy logicznej omówione będą w punktach 4 i 5.

2 2. SYNTEZA DWUPOZIOMOWA Optymalizacja układów dwupoziomowych jest istotna z wielu powodów. Po pierwsze, jest bezpośrednią metodą optymalizacji dla implementacji z programowalnymi matrycami logicznymi typu PLA i PAL. Po drugie, pozwala uprościć wyrażenia boolowskie opisujące układ, co jest istotne w dalszym procesie syntezy wielopoziomowej. W szczególności, moduł logiczny specyfikowany dwupoziomowo może być komponentem dowolnej, wielopoziomowej sieci logicznej, i jego optymalizacja korzystnie wpływa na całość układu. Z tych powodów optymalizacja dwupoziomowa jest kluczowa również w syntezie wielopoziomowej. Metody syntezy dwupoziomowej znalazły zastosowanie w klasycznym już algorytmie Espresso [2] i można je uznać za wystarczająco skuteczne w zakresie redukcji składników sumo-iloczynowych dla matryc PLA i PAL typu AND-OR. Dlatego obecne badania koncentrują się na zagadnieniach syntezy i optymalizacji funkcji logicznych na matrycach PLA z dekodowaniem wejść, w których matryca OR jest zastąpiona matrycą EX-OR, oraz na metodach minimalizacji symbolicznej i sieciach matryc PLA. Wielowartościowe matryce PLA typu EXOR są w realizacjach scalonych znacznie oszczędniejsze pod względem zajmowanej powierzchni krzemu niż klasyczne matryce AND-OR [2]. Ze względu na te zalety prace nad metodami minimalizacji funkcji wielowartościowych w klasie wielomianów Reeda-Mullera zostały ostatnio znacząco zintensyfikowane [18], [19]. Jednak ich bezpośrednie zastosowanie do syntezy w aktualnie produkowanych strukturach PLD/FPGA nie zostało nigdzie wykazane. Dla wielomacierzowych struktur PLD modyfikowane są klasyczne metody minimalizacji, które w połączeniu z coraz lepszymi procedurami dekompozycji (patrz p. 3) stwarzają szanse na poprawę efektywności wykorzystania zasobów sprzętowych struktur CPLD [3], [6]. 3. SYNTEZA WIELOPOZIOMOWA I DEKOMPOZYCJA Struktury dwupoziomowe są z natury rzeczy przystosowane do realizacji na bramkach AND, OR, NOT (ewentualnie NAND), a w konsekwencji również wygodne do implementacji w strukturach PLA i PAL, powszechnie stosowanych w technice układów PLD. Inne techniki realizacyjne, a w szczególności realizacje w strukturach Semi- i Full-Custom mają, niestety, całkiem odmienne wymagania na struktury układów kombinacyjnych. Ze względu na powierzchnię jaką zajmuje realizowany układ kombinacyjny w całej strukturze modułu scalonego, w realizacjach Semi- i Full-Custom oszczędniejsze (pod względem powierzchni) są tzw. struktury wielopoziomowe. Synteza wielopoziomowa posługuje się bogatym zbiorem operacji umożliwiających iteracyjną transformację sieci logicznej, pierwotnie zadanej w postaci minimalnego boolowskiego wyrażenia algebraicznego. Do najważniejszych należą operacje faktoryzacji i dekompozycji wyrażeń boolowskich. Operacje te transformują pojedyncze wyrażenia boolowskie na zbiór kilku nowych, niezależnych wyrażeń [2]. Znalazły one zastosowanie w słynnym pakiecie oprogramowania SIS, opracowanym na Uniwersytecie Kalifornijskim w Berkeley. Jeszcze inne metody syntezy są wymagane dla układów FPGA, a w szczególności dla układów FPGA o architekturze TLU (Table Look-Up) [8]. Są to układy o strukturze komórkowej, dla których naturalną metodą realizacji funkcji boolowskich jest tzw. dekompozycja funkcjonalna. Dekompozycja funkcjonalna okazała się skutecznym narzędziem w procedurach odwzorowania technologicznego dla struktur FPGA. Szczególnie obiecujące rezultaty oferuje metoda tzw. dekompozycji zrównoważonej [9], [12], [14]. Metoda ta polega na iteracyjnym stosowaniu różnych strategii dekompozycji stosowana jest dekompozycja szeregowa rozłączna i nierozłączna oraz równoległa. Warunek

3 wystarczający dekompozycji szeregowej jest sformułowany w modelach algebry ternarnej i rachunku nakryć [9], a jego istotą jest odpowiedni algorytm weryfikacji dekompozycji, w tym algorytm obliczania nakrycia β G spełniającego warunek wystarczający dekompozycji szeregowej. Cechą charakterystyczną metody jest wpływ odpowiedniej równowagi między dekompozycją szeregową a równoległą na liczbę komórek i liczbę poziomów układu zdekompowanego. Dekompozycja funkcjonalna znajduje również zastosowanie w projektowaniu wielomacierzowych struktur PLA [9]. Realizacje wielomacierzowe mogą znaleźć zastosowanie w minimalizacji czasu propagacji sygnału, jak też mogą wpływać na redukcję całkowitej powierzchni krzemu potrzebnej do realizacji zespołu funkcji boolowskich [2]. Można wykazać, że wielomacierzowe realizacje takich układów obliczone metodami dekompozycji funkcjonalnej [9] są konkurencyjne względem struktur uzyskiwanych metodami minimalizacji symbolicznej, specjalnie opracowanej dla potrzeb optymalizacji matryc PLA. Zatem odpowiednie połączenie procedur minimalizacji i dekompozycji funkcjonalnej może się okazać nowym kierunkiem badań dla wielomatrycowych układów CPLD. 4. UKŁADY SEKWENCYJNE W nowoczesnej syntezie logicznej, której bazą elementową są układy PLA, PLS zagadnieniem o dużym znaczeniu praktycznym stał się problem kodowania stanów wewnętrznych. Kodowanie wpływa w decydujący sposób zarówno na strukturę realizacji automatu, a więc na wzajemne połączenia między blokiem kombinacyjnym i elementami pamięci, jak i na złożoność bloku kombinacyjnego. Próba rozwiązania powyższego zagadnienia doprowadziła do opracowania wielu metod syntezy strukturalnej układów sekwencyjnych. Ich różnorodność jest wynikiem przyjmowania różnych założeń zarówno upraszczających obliczenia, jak też wynikających z ukierunkowania metody na określony typ elementów konstrukcyjnych. Stąd oddzielne metody syntezy układów sekwencyjnych dla struktur PLA [2], pamięci stałych ROM czy też modułów PLD [6]. Szczególnego znaczenia dostąpiły metody kodowania stanów odniesione do realizacji z układami typu PLA, w których funkcje wzbudzeń traktowane są łącznie jako wyrażenia boolowskie z dopuszczalnym, wspólnym wykorzystywaniem iloczynów (termów). Sytuacja taka jest powszechnie wykorzystywana w matrycach PLA stosowanych jako makrokomórki układów ASIC. Rozwiązaniem zadania kodowania jest w tym przypadku taka binarna reprezentacja stanów układu sekwencyjnego, dla której łączna liczba składników iloczynowych w wyrażeniach boolowskich, reprezentujących jego wszystkie funkcje wzbudzeń, jest możliwie mała. Założenie to (typowe w syntezie układów kombinacyjnych) uzyskuje w układach sekwencyjnych dodatkowy stopień swobody, jakim jest właśnie dobór kodowania, przy czym ze względu na złożoność obliczeniową zadanie to ogranicza się do obliczania kodowania stanów wewnętrznych zapewniającego minimalną powierzchnię matrycy PLA. Cechą charakterystyczną tych metod jest zastosowanie minimalizacji logicznej przed procesem kodowania stanów. Minimalizacja taka jest możliwa przy reprezentacji kombinacyjnej części układu sekwencyjnego metodą minimalizacji symbolicznej. Niestety metody takie są trudne do zastosowania w syntezie zorientowanej na struktury FPLD. Stąd wynika potrzeba kontynuacji takich badań. Prowadzone są one w dwóch kierunkach. Jeden dotyczy realizacji w strukturach macierzowych typu PAL i PLA [6], a drugi obejmuje realizacje w strukturach komórkowych FPGA i FLEX [16].

4 Ważną tendencją w projektowaniu układów sekwencyjnych są metody syntezy automatów współbieżnych [1]. Są to metody, w których układ sekwencyjny jest opisywany sieciami Petriego. Istotą tych metod jest fakt, że tzw. miejsca sieci Petriego odpowiadają przerzutnikom układu. W rezultacie naturalna własność tych sieci, jaką jest duża liczba miejsc, pozwala w naturalny sposób modelować zjawiska równoległe i współbieżne. Warto podkreślić, że rozwój metod syntezy automatów współbieżnych jest istotny dla implementacji układów sekwencyjnych w strukturach FPGA. 5. UNIWERSYTECKIE SYSTEMY SYNTEZY LOGICZNEJ Wraz ze wzrostem złożoności i różnorodności układów wykonywanych w zaawansowanych technologiach stało się niezbędne stosowanie efektywnych metod syntezy i form implementacji, uwzględniających kompromis pomiędzy złożonością obliczeniową a jakością fizycznej realizacji układu. Zaawansowanie tych metod, ich rozbudowane procedury, a także konieczność ciągłej modyfikacji, wynikającej z potrzeby dopasowywania się do nowych technologii stymuluje opracowywanie uniwersyteckich systemów syntezy i projektowania układów cyfrowych. Systemy te często wykonywane w formie niezbyt wygodnej do stosowania w bezpośredniej praktyce inżynierskiej spełniają w dziedzinie nowoczesnych metod syntezy układów cyfrowych nie mniejszą rolę niż firmowe systemy komercyjne. Typowym przykładem są systemy opracowane na uniwersytecie kalifornijskim w Berkeley, na przykład Espresso, MIS, SIS oraz system ASYL opracowany w INPG (Grenoble). Systemy SIS i ASYL, jakkolwiek opracowane dla tych samych potrzeb, różnią się między sobą nie tylko strategiami obliczeń, ale mają częściowo rozłączne zadania. Sytuacja taka wynika z częściowej specjalizacji uniwersytetów, której sprzyjają wielkie konferencje naukowe w dziedzinie syntezy logicznej i automatycznego projektowania układów cyfrowych: DAC, Euro-DAC, EDAC, IWLAS, ISMVL, ICCAD itp. Potwierdzeniem takiej specjalizacji są opracowania uniwersytetów w Kyushu [18], Grenoble, a w Polsce badania prowadzone w Politechnice Gliwickiej, koncentrujące się przede wszystkim na układach PAL i PLA, a ostatnio na zaawansowanych procedurach syntezy układów sekwencyjnych. Interesujące są również narzędzia syntezy opracowane na Politechnice Zielonogórskiej [1] oraz opracowany w Instytucie Telekomunikacji Politechniki Warszawskiej program DEMAIN [12], przeznaczony do syntezy logicznej zorientowanej na struktury FPGA. Dalej będą omówione eksperymenty, których celem było zbadanie wpływu zaawansowanych procedur syntezy logicznej na wynikowe realizacje systemów cyfrowych uzyskiwanych za pomocą narzędzi komercyjnych. Jako system uniwersytecki wybrany został system DEMAIN opracowany w Instytucie Telekomunikacji Politechniki Warszawskiej [12]. Eksperymenty te polegały na implementacji typowych układów cyfrowych głównie z dziedziny teleinformatyki za pomocą różnych systemów projektowania, a następnie na porównaniu ich realizacji pod względem liczby użytych komórek takiej samej struktury FPGA. Inaczej mówiąc istotą eksperymentu było porównanie realizacji tego samego układu, projektowanego za pomocą różnych systemów i odwzorowanego technologicznie w takiej samej strukturze FPGA. Wymowa przeprowadzonych eksperymentów jest znacząca w tym sensie, że do projektowania użyto systemów renomowanych firm światowych, takich jak Altera, Synopsys, Exemplar i Xilinx. W ramach eksperymentów zaprojektowano następujące układy: o bin2bcd1 konwerter kodu binarnego na BCD dla liczb z zakresu od 0 do 99, o bin2bcd2 konwerter kodu binarnego na BCD dla liczb z zakresu od 0 do 355,

5 o DESboxes zespół skrzynek podstawieniowych szyfru DES, o rd88 sbox z algorytmu kryptograficznego Rijndael, o DESaut kombinacyjna część układu sekwencyjnego z implementacji algorytmu kryptograficznego DES, o 5B6B kombinacyjna część układu kodera 5B-6B, o count4 4-bitowy licznik z mikrooperacjami COUNT UP, COUNT DOWN, HOLD, CLEAR i LOAD. W tabeli 1 przedstawiono wyniki porównania metody wykorzystującej dekompozycję zrównoważoną systemu DEMAIN z metodami zaimplementowanymi w systemach: SIS, FPGA Express, Leonardo Spectrum i MAX+PlusII. W tabeli podano liczbę komórek logicznych wymaganych do implementacji poszczególnych układów w strukturze FLEX typu FPGA. Z podanych rezultatów wynika, że metoda wykorzystująca dekompozycję zrównoważoną realizuje układ najoszczędniej pod względem liczby zajętych komórek, i wygrywa nawet z systemem SIS, co jest istotne o tyle, że SIS również stosuje metodę dekompozycji funkcjonalnej jednak bez zastosowania dekompozycji zrównoważonej. W szczególności warto zwrócić uwagę na implementację konwertera kodu binarnego na kod BCD (wersja 1) oraz na implementacje skrzynek podstawieniowych (s-box) szyfrów DES i Rijndael. Jak widać wspomaganie procesu projektowania zaawansowanymi procedurami syntezy logicznej prowadzi do rezultatów niemożliwych do uzyskania nawet za pomocą Przykład DEMAIN MAX+Plus II Tabl. 1 Architektura FPGA EPF10K10LC84-3 FPGA Express Leonardo Spectrum bin2bcd bin2bcd DESboxes rd DESaut B6B Count najlepszych systemów komercyjnych. Trzeba również podkreślić, że na jakość powyższych implementacji w systemach komercyjnych nie mają wpływu nawet najlepsze kompilatory języków HDL. Konwerter BIN2BCD1 jest typowym przykładem takiej sytuacji. Otóż konwerter ten można zaproektować bądź to w strukturze zbudowanej z bloków funkcjonalnych wg tzw. algorytmu +3 1), bądź też jako układ kombinacyjny realizujący bezpośrednio tablicę prawdy konwertera. W obu przypadkach strukturę konwertera można zapisać w językach opisu sprzętu np. AHDL lub VHDL. Opisując realizację wg algorytmu +3 w języku VHDL i dokonując odpowiedniej kompilacji w systemie MAX+PLUSII amerykańskiej firmy Altera, uzyskuje się realizację układu BIN2BCD1 na 32 komórkach struktury FLEX 10K. Ten sam układ opisany tablicą SIS 1) Dokładny opis takiej realizacji znaleźć można w książce [8]

6 prawdy (w specyfikacji VHDL instrukcjami CASE) i skompilowany w tym samym systemie Altery zajmuje 131 komórek FLEX. Oczywiście jest to realizacja znacznie szybsza od poprzedniej (co najmniej 8 razy), gdyż w tym przypadku opóźnienie sygnału jest wnoszone wyłącznie przez układ kombinacyjny, a poprzedni układ był strukturą sekwencyjną wymagającą do pełnej konwersji 8 taktów sygnału zegarowego. Okazuje się jednak, że realizację tę można znakomicie uprościć, również pod względem liczby komórek. Otóż wprowadzając tablicę prawdy układu BIN2BCD1 do systemu DEMAIN i dokonując odpowiedniej dekompozycji uzyskuje się realizację tegoż samego konwertera na zaledwie 13 komórkach struktury FLEX czyli 10 razu lepiej. Nie mniej intrygujące są wyniki implementacji skrzynek permutacyjnych S-box algorytmów kryptograficznych DES i Rijndael. Dobry stosunkowo wynik realizacji DESboxes w systemie Leonardo Spectrum można uznać za przypadek, gdyż już realizacja innego układu tego samego typu (rd88) wyraźnie ustępuje implementacji uzyskanej w systemie DEMAIN. Ten sam konwerter bin2bcd zrealizowano w za pośrednictwem kompilatora VHDL systemu Lattice ispdesignexpert w strukturze isplsi1016 [5]. Dla realizacji bez dekompozycji uzyskano odwzorowanie na 21 makrokomórkach rozmieszczonych na 5 poziomach. I również w tym przypadku dekompozycja zmniejszyła zajętość zasobów sprzętowych pozwalając umieścić ten sam układ na 19 makrokomórkach i 3 poziomach. W tabeli 2 przedstawiono porównanie sposobów realizacji układu sekwencyjnego opisanego tablicami przejść-wyjść z zakodowanymi stanami wewnętrznymi w systemie Altery MAX+PlusII. Dla każdego ze sposobów implementacji tego układu w tabeli przedstawiono liczbę komórek logicznych i wielkość pamięci potrzebną do realizacji (wielkość układu) oraz maksymalną częstotliwość sygnału zegarowego (szybkość działania układu). W kolumnie oznaczonej FF_MAX+PlusII przedstawiono rezultaty otrzymane przy wykorzystaniu systemu Altery w klasycznej implementacji z użyciem przerzutników. W kolumnie FF_DEMAIN przedstawiono wyniki implementacji układu sekwencyjnego ze zdekomponowaną częścią kombinacyjną. Wyniki realizacji układu sekwencyjnego z użyciem pamięci ROM przedstawiono w kolumnie oznaczonej ROM, zaś wyniki implementacji Tabl. 2 FF_MAX+PlusII FF_DEMAIN ROM UMA_ROM Przykład Speed Speed Speed Speed LCs / Bits LCs / Bits LCs / Bits LCs / Bits [MHz] [MHz] [MHz] [MHz] DESaut 46/0 41,1 28/0 61,7 8/ ,8 7/896 47,1 5B6B 93/0 48,7 43/0 114,9 6/448 48,0 3) 3) Count4 72/0 18/0 1) 44,2 86,2 1) 11/0 13/0 2) 68,5 90,0 2) 16/ ) 12/ ,5 1) układ opisany specjalną konstrukcją języka AHDL; 2) dekompozycja z minimalną liczbą poziomów logicznych, 3) dekompozycja niemożliwa; 4) za mała pojemność pamięci do implementacji układu. z zastosowaniem układu modyfikacji adresu przedstawiono w kolumnie UMA_ROM. Szczególnie interesujące są wyniki implementacji układu licznika count4. W tym przypadku zapis tablicowy układu licznika prowadzi do zwiększenia liczby zajętych komórek. Poprawę sytuacji uzyskuje się przez zastosowanie specjalnych konstrukcji języka HDL. Jednakże zastosowanie dekompozycji zrównoważonej pozwala nie tylko osiągnąć bardzo dobre wyniki, ale pozwala także kontrolować proces implementacji. Procedura dekompozycji umożliwia konstrukcję bądź to układu o niewielkiej liczbie komórek logicznych bądź o dużej

7 szybkości działania. Warto zauważyć, że układ optymalizowany z punktu widzenia szybkości działania jest zrealizowany na stosunkowo małej liczbie komórek logicznych. Realizacja układów sekwencyjnych z wykorzystaniem pamięci ROM umożliwia znaczne zmniejszenie zajętości komórek logicznych wyniki oznaczone ROM w tabeli 2. Jednakże niektóre układy wymagają zbyt dużej pojemności pamięci, przekraczających możliwości bloków EAB. Zastosowanie dekompozycji zrównoważonej do obliczenia układu modyfikacji adresu pozwala na odpowiednią redukcję wymaganej pojemności pamięci, kosztem niewielkiej straty w szybkości działania układu. Przeprowadzone eksperymenty w pełni potwierdzają tezę, że komercyjne systemy projektowania układów cyfrowych niejednokrotnie realizują projekty dalekie od rozwiązań optymalnych pod względem zajętości zasobów sprzętowych. Sytuacja ta jest szczególnie niepokojąca dla najnowszych układów reprogramowalnych typu FPGA i FLEX. Przyczyna tego zjawiska tkwi w niedostosowaniu procedur syntezy logicznej, które w systemach komercyjnych są z reguły realizowane według klasycznych metod minimalizacji funkcji boolowskich i nie uwzględniają metod dekompozycji funkcjonalnej. Zatem lepsze jakościowo projekty można realizować przede wszystkim przy wspomaganiu systemów komercyjnych najnowszymi narzędziami syntezy logicznej, dostępnymi jak do tej pory wyłącznie w systemach uniwersyteckich. 6. PODSUMOWANIE Intensywny rozwój technologii programowalnych (początek lat 90-tych) przypadł w czasie gruntownych zmian gospodarki polskiej, a w szczególności w okresie upadku dużych firm związanych z mikroelektroniką (TEWA) oraz firm związanych z elektroniką użytkową. Spowodowało to pewne negatywne nastawienie do wszelkich przedsięwzięć związanych z techniką układów PLD/FPGA. Można przypuszczać, że ten zły okres mamy chyba poza sobą, a niektóre tendencje gospodarcze zdają się potwierdzać wzrost zainteresowania możliwościami oferowanymi przez technikę układów programowalnych. Jednak wiele szkód wynikających z pechowego dla Polski okresu rozwoju tej technologii wymaga szczególnie intensywnych i kosztownych środków zaradczych. Dotyczyć powinny one przede wszystkim reorganizacji nauczania szeroko rozumianej techniki układów cyfrowych, od 30. lat tradycyjnie nauczanych w schemacie bramek logicznych, tablic Karnaugha i bloków funkcjonalnych, a więc w ujęciu klasycznej syntezy strukturalnej polegającej na składaniu systemu z wyodrębnionych bloków funkcjonalnych. W szczególności mankamenty nauczania techniki cyfrowej w Polsce polegają na braku metod redukcji argumentów i minimalizacji symbolicznej, braku metod syntezy wielopoziomowej, dekompozycji funkcjonalnej i odwzorowania technologicznego i co najgorsze, całkowitym braku jakichkolwiek informacji o standardowych i łatwo dostępnych narzędziach uniwersyteckich (Espresso, SIS itp.) [2], [9]. Dopiero ostatnie lata aktywnej działalności niektórych środowisk uczelnianych przyniosły pewną poprawę w tej dziedzinie, co objawiło się między innymi organizacją międzyuczelnianego Centrum Szkolenia ADEC [7] oraz zorganizowaniem corocznych konferencji takich jak: PDS (Programmable Devices and Systems) [13] i RUC (Reprogramowalne Układy Cyfrowe Szczecin) [17]. Wymienione przedsięwzięcia są istotne o tyle, że układy programowalne obniżają próg inwestycyjny potrzebny przedsiębiorstwom do osiągnięcia wysokiego poziomu technologicznego. Mogą powstawać dzięki temu małe, prężne firmy produkujące małoseryjne systemy specjalizowane. Istotne jest również to, że układy programowalne dzięki niskim kosztom początkowym obniżają bądź eliminują konieczność kredytowania przedsięwzięć.

8 Byłoby ogromną szkodą dla nauki i gospodarki polskiej, gdyby powszechnie uznawana za rewolucyjną technika układów programowalnych, a w szczególności jej rewelacyjne zastosowania w cyfrowym przetwarzaniu sygnałów [11] ominęły najpierw krajowe instytucje odpowiedzialne za nauczanie podstaw elektroniki, a następnie w konsekwencji ominęły firmy i przedsiębiorstwa odpowiedzialne za kondycję elektroniki w Polsce. BIBLIOGRAFIA [1] Adamski M., Chodań M.: Modelowanie układów sterowania dyskretnego z wykorzystaniem sieci SFC. Monografia. Wydawnictwa Politechniki Zielonogórskiej, Zielona Góra 2000 r. [2] De Micheli G.: Synthesis and Optimization of Digital Circuits. McGraw-Hill, New York, Również tłumaczenie polskie: Synteza i optymalizacja układów cyfrowych. WNT, Warszawa [3] Hrynkiewicz E.: Logic function set minimization by reducing of a Boolean space occupated by the generated implicants. Proc. International Conference on Programmable Devices and Systems, Ostrawa [4] Iman S., M. Pedram M.: Logic Synthesis for Low Power VLSI Design, Kluwer Academic Publishers, [5] Jachna Z.: Analiza metod specyfikacji układów cyfrowych z punktu widzenia optymalizacji logicznej i odwzorowania technologicznego. Rozprawa doktorska (w przygotowaniu), Wojskowa Akademia Techniczna, Warszawa [6] Kania D., Improved Technology mapping for PAL-based Devices Using a New Approach to Multi-Output Boolean Functions, DATE 02, IEEE Computer Society, Los Alamitos, [7] Kuźmicz W., Pfitzner A., Wielgus A., Fijałkowski A., Łuba T., Jasiński K., Turowski M., Kos A.: Układy ASIC w małych i średnich firmach. Formy wsparcia i pomocy. Prace Instytutu Technologii Elektronowej, Zeszyt 4/5, Warszawa [8] Łuba T., Zbierzchowski B.: Komputerowe projektowanie układów cyfrowych. Wydawnictwa Komunikacji i Łączności, Warszawa [9] Łuba T.: Synteza układów logicznych, Wyższa Szkoła Informatyki Stosowanej i Zarządzania, Wyd. 2, poprawione i rozszerzone, Warszawa [10] Łuba T., Zbierzchowski B., Zbysiński P.: Układy reprogramowalne dla potrzeb telekomunikacji cyfrowej. Przegląd Telekomunikacyjny i Wiadomości Telekomunikacyjne, nr 5, [11] Meyer-Baese U.: Digital Signal Processing with Field Programmable Gate Arrays, Springer Verlag, Berlin [12] Nowicka M., Rawski M., Łuba T.: DEMAIN an Interactive Tool for FPGA-Based Logic Decomposition, Proceedings of the 6 th International Conference Mixed Design of Integrated Circuits and Systems, Kraków [13] IFAC Workshop on Programmable Devices and Systems (E. Hrynkiewicz chairman), PDS2001, Materiały Konferencyjne, Gliwice [14] Rawski M., Jóźwiak L., Łuba T.: Functional decomposition with an efficient input support selection for sub-functions based on information relationship measures. Journal of Systems Architecture, 47, Elsevier Science B.V., [15] Rawski M., Jachna Z., Brzozowski I., Rzechowski R.: Practical Aspects of Logic Synthesis Based on Functional Decomposition. Proc. Euromicro Symposium on Digital Systems Design, Warszawa [16] Rawski M., Łuba T.: FSM Implementation in Embedded Memory Blocks Using Concept of Decomposition. IFAC Workshop Programmable Devices and Systems, PDS 2001, pp , Gliwice [17] Reprogramowalne układy cyfrowe (J. Sołdek przewodniczący komitetu programowego), Materiały IV Konferencji Naukowej, Szczecin [18] Sasao T.: Switching Theory for Logic Synthesis, Kluwer Academic Publishers, Dordrecht [19] Yanushkevich S.: Logic differential calculus in multi-valued logic design, Prace Naukowe Politechniki Szczecińskiej, Nr 537, Instytut Informatyki Nr 1, 1998.

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002.

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Książkę: T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Można zakupić po najniższej cenie w księgarni Wyższej Szkoły Informatyki Stosowanej i Zarządzania ul. Newelska 6 pok.

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

UKŁADY LOGICZNE. Prowadzi: Tadeusz ŁUBA, (GE pok. 472) Wykład dla specjalności: Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE)

UKŁADY LOGICZNE. Prowadzi: Tadeusz ŁUBA, (GE pok. 472) Wykład dla specjalności: Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE) UKŁADY LOGICZNE Wykład dla specjalności: / Uklady Logiczne Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE) ASIC FLEX Prowadzi: Tadeusz ŁUBA, (GE pok. 472) GAL EPLD 1 Organizacja Wykład

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

PODSTAWY UKŁADÓW LOGICZNYCH. Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu.

PODSTAWY UKŁADÓW LOGICZNYCH. Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu. PODSTAWY UKŁADÓW LOGICZNYCH Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu.pl 0-22 234-73-30 Organizacja T. Łuba Wykład dr M. Rawski dr G. Borowik Ćwiczenia http://www.zpt.tele.pw.edu.pl

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD

Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD BIULETYN WAT VOL. LVIII, NR 3, 29 Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD DARIUSZ KANIA 1, WALDEMAR GRABIEC 1 Politechnika Śląska, Wydział

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Programowanie Układów Logicznych kod kursu: ETD6203 Optymalizacja procesu syntezy logicznej, PicoBlaze, ChipScope W12 22.05.2019 mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Plan wykładu Synteza

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Wielopoziomowa synteza układów logicznych

Wielopoziomowa synteza układów logicznych Wielopoziomowa synteza układów logicznych Dwupoziomowa synteza sprowadza się do realizacji, w których pierwszy poziom tworzą bramki AND, a drugi bramki OR. Cała struktura układu jest opisana formułą typu:

Bardziej szczegółowo

Rekonfigurowalne systemy scalone

Rekonfigurowalne systemy scalone Rekonfigurowalne systemy scalone (System on-a-programmable Programmable-Chip) Tadeusz Łuba Elżbieta Piwowarska Zbigniew Jaworski Instytut Telekomunikacji Instytut Mikroelektroniki i Optoelektroniki Politechnika

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

DIGITAL LOGIC. Warsaw Information Technology Warsaw University of Technology. Digital Logic. Prof. Tadeusz Łuba

DIGITAL LOGIC. Warsaw Information Technology Warsaw University of Technology. Digital Logic. Prof. Tadeusz Łuba DIGITAL LOGIC / Digital Logic Prof. Tadeusz Łuba Warsaw Information Technology Warsaw University of Technology 1 Our communication 0-22- 825-1580 luba@tele.pw.edu.pl The subject homepage is located at:

Bardziej szczegółowo

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne Załącznik nr do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Szyfry strumieniowe w układach programowalnych FPGA. Marcin Rogawski

Szyfry strumieniowe w układach programowalnych FPGA. Marcin Rogawski Szyfry strumieniowe w układach programowalnych FPGA Marcin Rogawski rogawskim@prokom.pl Plan referatu Szyfry strumieniowe, Wybór tematu, Struktury programowalne element fizyczny, Architektury akceleratorów

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

Architektury akceleratorów kryptograficznych opartych o układy programowalne. Marcin Rogawski

Architektury akceleratorów kryptograficznych opartych o układy programowalne. Marcin Rogawski Architektury akceleratorów kryptograficznych opartych o układy programowalne. Marcin Rogawski rogawskim@prokom.pl Plan referatu: Budowa akceleratora kryptograficznego; Struktura programowalna element fizyczny;

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne Evatronix KontrolerEthernet MAC (Media Access Control)

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR BIULETYN WAT VOL. LVI, NR 3, 2007 Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR DARIUSZ KANIA*, WALDEMAR GRABIEC *Politechnika Śląska, Wydział Automatyki, Elektroniki i Informatyki,

Bardziej szczegółowo

Urządzenia techniki komputerowej. Cyfrowe układy funkcjonalne

Urządzenia techniki komputerowej. Cyfrowe układy funkcjonalne Urządzenia techniki komputerowej Cyfrowe układy funkcjonalne Technika cyfrowa jest w dzisiejszych czasach obszarem wiedzy o całkowicie interdyscyplinarnym obliczu. Jej zagadnienia kształtowane z jednej

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Podstawy techniki cyfrowej

Podstawy techniki cyfrowej Podstawy techniki cyfrowej Wykład 1: Wstęp Dr hab. inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Informacje o przedmiocie Wprowadzenie Podstawy matematyczne:

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH Monika

Bardziej szczegółowo

Współczesne techniki informacyjne

Współczesne techniki informacyjne Współczesne techniki informacyjne są multimedialne, można oczekiwać, że po cywilizacji pisma (i druku) nastąpi etap cywilizacji obrazowej czyli coraz większa jest potrzeba gromadzenia i przysyłania wielkiej

Bardziej szczegółowo

Projektowanie. Projektowanie mikroprocesorów

Projektowanie. Projektowanie mikroprocesorów WYKŁAD Projektowanie mikroprocesorów Projektowanie układ adów w cyfrowych - podsumowanie Algebra Boole a Bramki logiczne i przerzutniki Automat skończony System binarny i reprezentacja danych Synteza logiczna

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ Do uŝytku wewnętrznego INFORMATOR LABORATORYJNY TECHNIKA CYFROWA Opracował: dr hab. inŝ. Tadeusz Maciak UWAGA: ćwiczenie 6 jest obecnie przepracowywane.

Bardziej szczegółowo

Opisy efektów kształcenia dla modułu

Opisy efektów kształcenia dla modułu Karta modułu - Języki opisu sprzętu 1 / 8 Nazwa modułu: Języki opisu sprzętu Rocznik: 2012/2013 Kod: JIS-1-013-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Poziom studiów: Studia I stopnia

Bardziej szczegółowo

INFORMATOR LABORATORYJNY. TECHNIKA CYFROWA (studia niestacjonarne)

INFORMATOR LABORATORYJNY. TECHNIKA CYFROWA (studia niestacjonarne) INFORMATOR LABORATORYJNY TECHNIKA CYFROWA (studia niestacjonarne) A REGULAMIN LABORATORIUM 1. Laboratorium składa się z 3 ćwiczeń (8 terminów zajęć). Udział na każdych zajęciach jest obowiązkowy. Termin

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Języki opisu sprzętu Rok akademicki: 2013/2014 Kod: JIS-1-015-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Kierunek: Informatyka Stosowana Specjalność: - Poziom studiów: Studia

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

Technika cyfrowa i mikroprocesorowa. Zaliczenie na ocenę. Zaliczenie na ocenę

Technika cyfrowa i mikroprocesorowa. Zaliczenie na ocenę. Zaliczenie na ocenę I. KARTA PRZEDMIOTU Nazwa przedmiotu/modułu: Nazwa angielska: Kierunek studiów: Poziom studiów: Profil studiów: Jednostka prowadząca: Technika cyfrowa i mikroprocesorowa Edukacja techniczno-informatyczna

Bardziej szczegółowo

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44 Synteza systemów reprogramowalnych /27 dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 07, tel. 449 55 44 FPGA, CPLD, SPLD 945 950 955 960 965 970 975 980 985 990 995 2000 0 D CLK update v cur Q Q 0

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

Sylabus. WYDZIAŁ FIZYKI Uniwersytet im. Adama Mickiewicza w Poznaniu

Sylabus. WYDZIAŁ FIZYKI Uniwersytet im. Adama Mickiewicza w Poznaniu Sylabus WYDZIAŁ FIZYKI Uniwersytet im. Adama Mickiewicza w Poznaniu Zakład Elektroniki Kwantowej; Zakład Radiospektroskopii Pracownia Elektroniki Cyfrowej Stopień/tytuł naukowy Dr Dr Imię Zdzisław Stanisław

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Podsumowanie wyników ankiety

Podsumowanie wyników ankiety SPRAWOZDANIE Kierunkowego Zespołu ds. Programów Kształcenia dla kierunku Informatyka dotyczące ankiet samooceny osiągnięcia przez absolwentów kierunkowych efektów kształcenia po ukończeniu studiów w roku

Bardziej szczegółowo

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18 Spis treści Przedmowa... 11 Wykaz oznaczeń... 13 1. Wstęp... 15 1.1. Układycyfrowe... 15 1.2. Krótki esej o projektowaniu.... 15 2. Układy kombinacyjne... 18 2.1. Podstawyprojektowaniaukładówkombinacyjnych...

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład)

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład) Wstęp doinformatyki Układy logiczne komputerów kombinacyjne sekwencyjne Układy logiczne Układy kombinacyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 synchroniczne asynchroniczne Wstęp

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2012 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Publikacja współfinansowana ze środków

Bardziej szczegółowo

Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych

Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych Autor: Piotr Majkowski Pod opieką: prof. Zbigniew Kotulski Politechnika

Bardziej szczegółowo

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Ogromną rolę w technice cyfrowej spełniają układy programowalne, często określane nazwą programowalnych modułów logicznych lub krótko hasłem FPLD

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Jednostki obliczeniowe w zastosowaniach mechatronicznych Kierunek: Mechatronika Rodzaj przedmiotu: dla specjalności Systemy Sterowania Rodzaj zajęć: Wykład, laboratorium Computational

Bardziej szczegółowo

Układy reprogramowalne dla potrzeb telekomunikacji cyfrowej

Układy reprogramowalne dla potrzeb telekomunikacji cyfrowej Tadeusz UBA*, Bogdan ZBIERZCHOWSKI*, Piotr ZBYSIÑSKI* Układy reprogramowalne dla potrzeb telekomunikacji cyfrowej W ostatnich latach w technice cyfrowej obserwuje siê ogromne zmiany w metodach projektowania

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Wykład jest przygotowany dla IV semestru kierunku Elektronika i Telekomunikacja. Studia I stopnia Dr inż. Małgorzata Langer Architektura komputerów Prezentacja multimedialna współfinansowana przez Unię

Bardziej szczegółowo

dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle" POKL

dr inż. Rafał Klaus Zajęcia finansowane z projektu Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle POKL Technika cyfrowa w architekturze komputerów materiał do wykładu 2/3 dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia na Politechnice Poznańskiej w zakresie technologii

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy sterowania logicznego Rok akademicki: 2030/2031 Kod: EEL-1-523-s Punkty ECTS: 5 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika

Bardziej szczegółowo

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1 Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E2T-09-s2 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable Logic

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2010 2015 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Cyfrowe układy scalone Układy cyfrowe

Bardziej szczegółowo

Instrukcje sekwencyjne

Instrukcje sekwencyjne nstrukcje sekwencyjne nstrukcje sekwencyjne są stosowane w specyfikacji behawioralnej (behavioral description) rzede wszystkim w tzw. procesach (process) roces nstrukcja F nstrukcja CASE Z 1 rocesy Konstrukcja

Bardziej szczegółowo

Opisy efektów kształcenia dla modułu

Opisy efektów kształcenia dla modułu Karta modułu - Projektowanie Systemów Cyfrowych 1 / 8 Nazwa modułu: Projektowanie Systemów Cyfrowych Rocznik: 2012/2013 Kod: JIS-2-205-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Poziom studiów:

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Technika mikroprocesorowa

Technika mikroprocesorowa Technika mikroprocesorowa zajmuje się przetwarzaniem danych w oparciu o cyfrowe programowalne układy scalone. Systemy przetwarzające dane w oparciu o takie układy nazywane są systemami mikroprocesorowymi

Bardziej szczegółowo

[1] [2] [3] [4] [5] [6] Wiedza

[1] [2] [3] [4] [5] [6] Wiedza 3) Efekty dla studiów drugiego stopnia - profil ogólnoakademicki na kierunku Informatyka w języku angielskim (Computer Science) na specjalności Sztuczna inteligencja (Artificial Intelligence) na Wydziale

Bardziej szczegółowo

Uniwersytet w Białymstoku Wydział Ekonomiczno-Informatyczny w Wilnie SYLLABUS na rok akademicki 2010/2011

Uniwersytet w Białymstoku Wydział Ekonomiczno-Informatyczny w Wilnie SYLLABUS na rok akademicki 2010/2011 SYLLABUS na rok akademicki 010/011 Tryb studiów Studia stacjonarne Kierunek studiów Informatyka Poziom studiów Pierwszego stopnia Rok studiów/ semestr 1(rok)/1(sem) Specjalność Bez specjalności Kod katedry/zakładu

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Układy kombinacyjne

Wstęp do Techniki Cyfrowej... Układy kombinacyjne Wstęp do Techniki Cyfrowej... Układy kombinacyjne Przypomnienie Stan wejść układu kombinacyjnego jednoznacznie określa stan wyjść. Poszczególne wyjścia określane są przez funkcje boolowskie zmiennych wejściowych.

Bardziej szczegółowo

Zakładane efekty kształcenia dla kierunku

Zakładane efekty kształcenia dla kierunku Zakładane efekty dla kierunku Jednostka prowadząca kierunek studiów Nazwa kierunku studiów Specjalności Obszar Profil Poziom Tytuł zawodowy uzyskiwany przez absolwenta Dziedziny nauki / sztuki i dyscypliny

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW VLSI

PROJEKTOWANIE UKŁADÓW VLSI prof. dr hab. inż. Andrzej Kos Tel. 34.35, email: kos@uci.agh.edu.pl Pawilon C3, pokój 505 PROJEKTOWANIE UKŁADÓW VLSI Forma zaliczenia: egzamin Układy VLSI wczoraj i dzisiaj Pierwszy układ scalony -

Bardziej szczegółowo

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool Magdalena Rajewska Robert Berezowski Oleg Maslennikow Adam Słowik Wydział Elektroniki i Informatyki Politechnika Koszalińska ul. JJ Śniadeckich 2, 75-453 Koszalin Wykorzystanie bramek prądowych i napięciowych

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Piotr Majkowski. Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji

Piotr Majkowski. Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Hybrydowy system służący do kryptoanalizy szyfrów opartych na krzywych eliptycznych Piotr Majkowski Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji System

Bardziej szczegółowo

Spis treści. Analiza i modelowanie_nowicki, Chomiak_Księga1.indb :03:08

Spis treści. Analiza i modelowanie_nowicki, Chomiak_Księga1.indb :03:08 Spis treści Wstęp.............................................................. 7 Część I Podstawy analizy i modelowania systemów 1. Charakterystyka systemów informacyjnych....................... 13 1.1.

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Generowanie równań boolowskich dla syntezowalnych źródeł języka VHDL opisujących logikę sekwencyjną

Generowanie równań boolowskich dla syntezowalnych źródeł języka VHDL opisujących logikę sekwencyjną P O L I T E C H N I K A S Z C Z E C I Ń S K A W Y D Z I A Ł I N F O R M A T Y K I mgr inż. Tomasz Wierciński Generowanie równań boolowskich dla syntezowalnych źródeł języka VHDL opisujących logikę sekwencyjną

Bardziej szczegółowo

KARTA PRZEDMIOTU. Podstawy elektroniki cyfrowej B6. Fundamentals of digital electronic

KARTA PRZEDMIOTU. Podstawy elektroniki cyfrowej B6. Fundamentals of digital electronic KARTA PRZEDMIOTU 1. Informacje ogólne Nazwa przedmiotu i kod (wg planu studiów): Nazwa przedmiotu (j. ang.): Kierunek studiów: Specjalność/specjalizacja: Poziom kształcenia: Profil kształcenia: Forma studiów:

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Mechatronika Rodzaj przedmiotu: obowiązkowy Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU PRZEWODNIK PO PRZEDMIOCIE C1. Zapoznanie studentów z inteligentnymi

Bardziej szczegółowo

Zakładane efekty kształcenia dla kierunku

Zakładane efekty kształcenia dla kierunku Zakładane efekty dla kierunku Jednostka prowadząca kierunek studiów Nazwa kierunku studiów Specjalności Obszar Profil Poziom Tytuł zawodowy uzyskiwany przez absolwenta Dziedziny nauki / sztuki i dyscypliny

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo