Urządzenia techniki komputerowej. Cyfrowe układy funkcjonalne

Wielkość: px
Rozpocząć pokaz od strony:

Download "Urządzenia techniki komputerowej. Cyfrowe układy funkcjonalne"

Transkrypt

1 Urządzenia techniki komputerowej Cyfrowe układy funkcjonalne

2 Technika cyfrowa jest w dzisiejszych czasach obszarem wiedzy o całkowicie interdyscyplinarnym obliczu. Jej zagadnienia kształtowane z jednej strony przez języki opisu sprzętu, a z drugiej przez programowalne moduły logiczne, śmiało mogą być zaliczone zarówno do Informatyki, Elektroniki jak i Telekomunikacji. Dzisiejsze techniki projektowania układów cyfrowych polegają już nie tylko na składaniu układu z dostępnych komponentów, a raczej na procesie formalnej, abstrakcyjnej specyfikacji projektu w odpowiednim języku opisu sprzętu (HDL Hardware Description Language) oraz na transformacji tej specyfikacji przy użyciu różnorodnych narzędzi komputerowego wspomagania projektowania CAD (Computer Aided Design).

3 Ogromną rolę w zacieraniu różnicy między oprogramowaniem (software), tradycyjnie zaliczanym do produktów informatyki, a sprzętem (hardware) jako produktem tradycyjnie rozumianej elektroniki, spełniają układy programowalne przez użytkownika. Stały się one podstawą powstania nowego rynku własności intelektualnej, którego ofertą handlową są rdzenie projektowe (IP Core), czyli sprzęt reprezentowany w postaci oprogramowania, docelowo przeznaczonego do implementacji w układzie scalonym (programowalnym lub bezpośrednio wykonywanym w krzemie), zamiast w komputerze.

4 Technika cyfrowa ma obecnie przemożny wpływ na wszystkie obszary ludzkiej aktywności; między innymi radykalnie przeobraziła metody i formy komunikacji społecznej zarówno w ujęciu zbiorowym jak i indywidualnym, a układy cyfrowe można dziś znaleźć niemal w każdym urządzeniu technicznym.

5 Obszarem, w którym technika cyfrowa doprowadziła do bardzo istotnych zmian są urządzenia multimedialne. Dzięki multimediom rozwinęły się niespotykane do tej pory usługi teleinformatyczne takie jak: wirtualne usługi bankowe, telenauczanie, wideokonferencje, itp. Masowe zapotrzebowanie na te usługi tworzy atrakcyjny obszar działalności przemysłowo-gospodarczej.

6 Rozwój techniki cyfrowej jest bezpośrednio związany z rozwojem technologii mikroelektronicznych. Dzisiejsze możliwości technologiczne są ogromne. Technologia dostarcza konstruktorom układów cyfrowych specjalizowane układy scalone (Application Specific Integrated Circuits) o zasobach sprzętowych rzędu kilkudziesięciu milionów tranzystorów, co z punktu widzenia techniki cyfrowej jest równoważne kilku milionom bramek logicznych.

7 W zależności od technologii i techniki projektowania specjalizowane układy scalone klasyfikujemy w następujących kategoriach: a. układy zamawiane przez użytkownika (Full Custom), b. układy projektowane przez użytkownika (Semi Custom), c. układy programowane przez użytkownika (FPLD Field Programmable Logic Devices).

8 Największe układy ASIC są zwykle produkowane w wielkich seriach i najczęściej całkowicie wykonywane przez producenta dla zamawiającego (Full Custom). Rola zamawiającego ogranicza się do przedstawienia wymagań (założeń projektowych), a do producenta należy wykonanie projektu, prototypu, sprawdzenie działania prototypu oraz ostateczna produkcja. Układy takie opłaca się produkować tylko w bardzo dużych seriach (np. sprzęt powszechnego użytku, mikrokomputery) lub do wyjątkowych zastosowań. Cechą charakterystyczną układów Semi Custom jest wielokrotne wykorzystywanie raz zaprojektowanych bloków funkcjonalnych, które są przechowywane w bibliotece (w praktyce w pamięci komputera). Bloki takie są często zwane komórkami bibliotecznymi. W układach Semi custom typowym uproszczeniem projektowania jest standaryzacja komórek bibliotecznych przez narzucanie jednolitych wymagań dotyczących kształtu, wymiarów, rozmieszczenia szyn zasilania, wejść i wyjść, co pozwala w znacznym stopniu zautomatyzować proces projektowania struktury fizycznej układu.

9 Struktura fizyczna każdego układu zaprojektowanego w stylu Full Custom lub Standard Cells wymaga przygotowania projektów masek produkcyjnych i przeprowadzenia złożonego procesu technologicznego. Oznacza to, że czas potrzebny do otrzymania prototypowych egzemplarzy nowo zaprojektowanego układu jest rzędu co najmniej kilku tygodni. Z tych powodów coraz większą rolę w technice cyfrowej odgrywają programowalne moduły logiczne (FPLD Field Programmable Logic Devices), krótko zwane układami (strukturami) programowalnymi.

10 Układy programowalne to z punktu widzenia struktury układy typu matrycowego lub komórkowego, jednak z możliwością programowania połączeń na drodze elektrycznej. W ich przypadku proces produkcyjny jest odmienny producent dostarcza prefabrykaty projektantowi, który może je zaprogramować u siebie na biurku.

11 Atrakcyjnośd układów FPLD jako alternatywy dla układów Full Custom i Semi Custom wynika przede wszystkim z połączenia naturalnych walorów układów programowalnych, które zapewniają krótki czas opracowania prototypu i łatwośd jego modyfikacji i są dostępne z półki, z coraz doskonalszymi parametrami użytkowymi szybkością działania i pojemnością.

12 Rozwój technologii stymuluje rozwój komputerowych narzędzi projektowania, co tym bardziej poszerza związek techniki cyfrowej z Informatyką. Jest to zrozumiałe, gdyż sensowne przetworzenie milionów bramek w zamierzenia konstruktora układów cyfrowych nie może się odbyć bez udziału komputerowych systemów projektowania wyposażonych w edytor graficzny, tekstowy oraz kompilator.

13 Typowy komputerowy system projektowania jest wyposażony w moduł syntezy funkcjonalnej, moduł syntezy logicznej, oraz procedury odwzorowania technologicznego. Zadaniem takiego systemu jest realizacja algorytmu przetwarzania informacji cyfrowej w układzie scalonym zbudowanym z milionów bramek logicznych.

14 Nie jest to zadanie łatwe i z tych powodów synteza układów logicznych jest jednym z najważniejszych działów techniki cyfrowej. Trudności wynikają przede wszystkim z faktu, iż inne były wymagania stawiane układom logicznym wtedy, gdy były one konstruowane z pojedynczych tranzystorów (lata 50.) lub wtedy, gdy cyfrowe układy scalone zwierały kilka bramek (lata 70.). Wobec dzisiejszych milionów bramek zawartych w jednym, reprogramowalnym i rekonfigurowalnym module scalonym, jest to postęp ilościowy, który musiał całkowicie zmienić i ciągle jeszcze zmienia metody syntezy układów logicznych.

15 Najważniejszymi zagadnieniami nowoczesnej syntezy logicznej jakie rozwinęły się w ciągu ostatnich 20 lat w wyniku postępu technologii są: synteza dwupoziomowa (two-level synthesis), synteza wielopoziomowa (multi-level synthesis), minimalizacja symboliczna, dekompozycja matryc PLA oraz dekompozycja funkcjonalna.

16 Ze zrozumiałych względów komercyjne systemy projektowania nie nadążają za rozwojem technologii. Dlatego powstają uniwersyteckie systemy syntezy logicznej. Systemy te często wykonywane w formie niezbyt wygodnej do stosowania w bezpośredniej praktyce inżynierskiej spełniają w dziedzinie nowoczesnych metod syntezy układów cyfrowych nie mniejszą rolę niż firmowe systemy komercyjne. Z założenia są to systemy przystosowane do obsługi przez użytkownika o głębszej wiedzy w zakresie syntezy logicznej. Spełniają więc rolę niejako awaryjną, tzn. są stosowane w tych przypadkach, w których systemy komercyjne nie dają pozytywnych rezultatów ze względu na dużą złożoność obliczeniową.

17 Drugą, nie mniej ważną rolą systemów uniwersyteckich jest zdobywanie wiedzy i doświadczenia w zakresie skuteczności procedur obliczeniowych. Tak więc z biegiem lat wiele programów i procedur obliczeniowych, stosowanych w systemach uniwersyteckich, weszło do wyposażenia systemów komercyjnych. Typowym przykładem mogą tu byd systemy opracowane na uniwersytecie kalifornijskim w Berkeley, na przykład ESPRESSO, oraz SIS. Dziś procedury minimalizacji funkcji boolowskich programu ESPRESSO są stosowane bezpośrednio (jako wbudowane do systemu) lub pośrednio (z możliwością wymiany danych) we wszystkich prawie systemach komputerowego projektowania układów cyfrowych.

18 Wraz z wprowadzeniem języków HDL oraz struktur programowalnych pojawiły się nowe możliwości aplikacyjne dla techniki cyfrowej. Wynikają one nie tylko z poprawy parametrów funkcjonalnych i czasowych oraz zmian w architekturze logicznej, ale również z samej istoty reprogramowalności. Jeden z kierunków takich aplikacji określa koncepcja produktu wirtualnego systemu, funkcji lub układu scalonego, które nie istnieją w rzeczywistości materialnej, ale mogą być potencjalnie w każdej chwili zrealizowane. Takie układy wirtualne mogą być zarówno gotowymi produktami o zmiennych funkcjach i właściwościach, jak również elastycznymi klockami, z których można konstruować większe systemy. Z punktu widzenia gotowego produktu wytwarzanego seryjnie możliwość modyfikacji i nadawania jego standardowej konstrukcji indywidualnie wyspecjalizowanych funkcji i parametrów stwarza wspaniałą perspektywę.

19 Podział układów logicznych Układy logiczne dzielimy na: - układy kombinacyjne, - układy sekwencyjne, - układy asynchroniczne, - układy synchroniczne.

20 Układ kombinacyjny Definicja Układem kombinacyjnym nazywamy taki układ cyfrowy, w którym stan wejśd jednoznacznie określa stan wyjśd układu. Układ sekwencyjny Definicja Układem sekwencyjnym nazywamy taki układ cyfrowy, w którym stan wyjśd zależy od stanu wejśd oraz od poprzednich stanów układu.

21 Układ asynchroniczny Definicja Układem asynchronicznym nazywamy taki układ cyfrowy, dla którego w dowolnym momencie jego działania stan wejśd oddziaływuje na stan wyjśd. Układ synchroniczny Definicja Układem synchronicznym nazywamy taki układ cyfrowy, dla którego stan wejśd wpływa na stan wyjśd jedynie w określonych odcinkach czasu pracy układu zwanych czasem czynnym, natomiast w pozostałych odcinkach czasu zwanych czasem martwym stan wejść nie wpływa na stan wyjść. Odcinki czasu czynnego i martwego wyznaczane są przez podanie specjalnego sygnału zegarowego zwanego także taktującym.

22 Sygnał taktujący

23 Oznaczenia wejśd zegarowych układów cyfrowych

24 Asynchroniczny przerzutnik RS Definicja Przerzutnikiem nazywamy układ cyfrowy pozwalający zapamiętad jeden bit informacji.

25 Synchroniczny przerzutnik D typu latach

26 Cyfrowe układy funkcjonalne

27 Rejestry Definicja Rejestrem nazywamy układ cyfrowy przeznaczony do krótkoterminowego przechowywania niewielkich ilości informacji lub do zamiany postaci informacji z równoległej na szeregową lub odwrotnie. Definicja Wejściem cyfrowym równoległym nazywamy takie wejście, które umożliwia wprowadzenie do układu cyfrowego wszystkich bitów słowa w jednym takcie zegarowym. Definicja Wejściem cyfrowym szeregowym nazywamy takie wejście, które umożliwia wprowadzenie do układu cyfrowego bit po bicie. Każdy bit jest wprowadzany w jednym takcie zegarowym. Uwaga: N-bitowe wejście równoległe jest n razy szybsze od wejścia szeregowego.

28 Rejestry dzielimy na następujące grupy: -PIPO (ang. parallel input, parallel output) rejestry z wejściem i wyjściem równoległym rejestry buforowe typu zatrzask, -SISO (ang. serial input, serial output) rejestry z wejściem i wyjściem szeregowym rejestry przesuwające, -SIPO (ang. serial input, parallel output) rejestry z wejściem szeregowym i wyjściem równoległym stosowane w układach USART lub UART, - PISO (ang. parallel input, serial output) rejestry z wejściem równoległym i wyjściem szeregowym stosowane w układach USART (ang. universal synchronous/asynchronous receiver/transmiter) lub UART.

29 Bramka trójstanowa

30 Zasada działania magistrali W systemach mikroprocesorowych istnieje koniecznośd zapewnienia komunikacji pomiędzy wieloma układami. Przykładami tych układów są: mikroprocesor, pamięd RAM, ROM i układy wejścia/wyjścia. Połączenie wielu układów metodą każdy z każdym doprowadziłoby do nadmiernego skomplikowania architektury komputera i jest praktycznie nierealne. Dlatego stosuje się sposób połączenia tych układów przy pomocy tak zwanej magistrali. Definicja Magistralą nazywamy zestaw linii oraz układów przełączających, łączących dwa lub więcej układów mogących byd nadajnikami lub odbiornikami informacji.

31 Zasada działania magistrali

32 Jednostka arytmetyczno logiczna Definicja Jednostką arytmetyczno-logiczną (ALU, ang. Arithmetic-Logic Unit) nazywamy uniwersalny układ cyfrowy przeznaczony do wykonywania operacji arytmetycznych i logicznych.

33 Budowa i działanie mikroprocesora

34 Oznaczenia: ALU jednostka arytmetyczno-logiczna IR rejestr rozkazów CU jednostka sterująca EU jednostka wykonawcza Dek dekoder rozkazów Budowę prostego mikroprocesora

35 Zadaniem jednostki wykonawczej EU ( ang. execution unit) jest przetwarzanie informacji, czyli wykonywanie wszelkich operacji arytmetycznych i logicznych. Rodzaj wykonywanych operacji zależy od wewnętrznych sygnałów sterujących wytwarzanych przez jednostkę sterującą CU (ang.control unit). W skład jednostki wykonawczej wchodzi jednostka arytmetyczno-logiczna oraz zestaw współpracujących z nią rejestrów. Informacją wejściową części wykonawczej są dane, zaś wyjściową wyniki. W skład jednostki sterującej CU wchodzą: rejestr rozkazów IR (ang. instruction register), dekoder rozkazów i układ sterowania. W rejestrze rozkazów przechowywany jest kod aktualnie wykonywanego rozkazu (instrukcji). Kody rozkazów pobierane są do rejestru rozkazów z pamięci. Ciąg rozkazów tworzy program wykonywany przez system. Po pobraniu z pamięci kod rozkazu jest dekodowany w dekoderze rozkazów. Zostaje rozpoznany rodzaj rozkazu i na jego podstawie układ sterowania wytwarza odpowiednie sygnały sterujące.

36 UKŁADY KONWERSJI KODÓW

37 Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np. sygnał cyfrowy: znaki A..Z, a..z, 0..9, znaki specjalne, interpunkcyjne i in. - tzw. Kody alfanumeryczne; cyfra tzw. kody dwójkowo-dziesiętne; wartość całkowita z pewnego przedziału, np. <- 128,+127>; inne...

38 Klasyfikacje kodów n - długość słowa kodowego (liczba bitów wektora) P - pojemność kodu (liczba wszystkich dopuszczalnych kombinacji kodu) Kody zupełne i niezupełne P = 2 n kod zupełny P < 2 n kod niezupełny Kody systematyczne (np. naturalny kod binarny) i niesystematyczne (np. alfanumeryczne) Bardzo ważna klasa kodów systematycznych, kodujących liczby - kody wagowe: każda pozycja w słowie kodowym (bit) ma określoną i niezmienną wartość - tzw. wagę.

39 Np.. Np. 3-bitowy naturalny kod binarny (NKB): n=3 P = 8 = 2 n kod zupełny

40 Kody dwójkowo-dziesiętne Jak zakodować binarnie cyfry 0...9? P = 10 n = różnie dla różnych kodów. Najpopularniejsze (n = 4):

41 Kody o stałej liczbie jedynek: k z n W słowie n bitowym dokładnie k bitów jest równych 1 Jeśli k= 1 kod 1 z n" (zwany też kodem pierścieniowym, prostym). Dla kodów pierścieniowych P = n. Np. kod 1 z 4:

42 Kod pseudopierścieniowy (Johnsona) Systematyczny, ale niewagowy. P = 2n. Np. kod 4b (P = 8):

43 Kod Gray'a Zupełny kod niewagowy, w którym każde dwa kolejne słowa kodowe (także pierwsze i ostatnie) różnią się między sobą dokładnie jednym bitem.

44 Inne kody Detekcyjne i korekcyjne: transmisja i zapis danych, dodany nadmiar umożliwia wykrycie lub wykrycie i korekcję określonej klasy błędów; np. dodawanie bitu parzystości. Alfanumeryczne, np. kod ASCII (7-bitowy); w komputerach PC stosowany 8- bitowy tzw. kod ASCII rozszerzony (extended ASCII), znaki o kodach powyżej 127 bywają różne. Kody kompresji danych...

45 Układy konwersji kodów Podstawowa klasyfikacja: Enkoder (koder): kod WE jest kodem prostym ( 1 z n ). Dekoder: kod WY jest kodem prostym ( 1 z n ). Transkoder: żaden z kodów WE ani WY nie jest 1 z n. Enkoder priorytetowy: jeśli na WE zamiast kodu 1 z n pojawi się więcej niż jedna jedynka, ustalona ranga priorytetów WE decyduje, która z nich określa odpowiedź układu (np. najstarsza). Dekoder pełny: kod WE jest zupełny (czyli m = 2 n, gdzie n = liczba WE, m = liczba WY).

46 Np. układ scalony TTL dekoder kodu BCD 8421 Dekoder niepełny.

47 Dekodery NKB Ang. k to - n decoders (2-to-4, 3-to-8 etc.) Dekodery 2 na 4 (a) postać kanoniczna (b) WY aktywne 0" (c) realizacja uproszczona

48 Dekodery 3 na 8 (a) postać kanoniczna (b) regularna struktura na 2WE bramkach iloczynu (+negacje zmiennych WE)

49 Dekodery 4 na 16

50 Dekodery 2 na 4 z sygnałem Enable

51 Kaskadowe łączenie dekoderów

52 Multipleksy i demultipleksy

53

54

55 1. MULTIPLEKSERY Realizacji funkcji boolowskiej

56 multipleksery

57 dekodery

58 dekodery

59

60

61

62 REJESTRY

63

64

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne... Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...4 Podział układów logicznych...6 Cyfrowe układy funkcjonalne...8 Rejestry...8

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Temat 7. Dekodery, enkodery

Temat 7. Dekodery, enkodery Temat 7. Dekodery, enkodery 1. Pojęcia: koder, dekoder, enkoder, konwerter kodu, transkoder, enkoder priorytetowy... Koderami (lub enkoderami) nazywamy układy realizujące proces zamiany informacji kodowanej

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

PODSTAWY TEORII UKŁADÓW CYFROWYCH

PODSTAWY TEORII UKŁADÓW CYFROWYCH PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY KODUJĄCE Kodery Kodery Kodery służą do przedstawienia informacji z tylko jednego aktywnego wejścia na postać binarną. Ponieważ istnieje fizyczna możliwość jednoczesnej

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 1: kody. Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej

Technika Cyfrowa 1 wykład 1: kody. Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej Technika Cyfrowa 1 wykład 1: kody Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje, p. 225 C-3: PN: 12:45-15:15, PT: 14:30-16:00

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002.

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Książkę: T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Można zakupić po najniższej cenie w księgarni Wyższej Szkoły Informatyki Stosowanej i Zarządzania ul. Newelska 6 pok.

Bardziej szczegółowo

Podstawy techniki cyfrowej

Podstawy techniki cyfrowej Podstawy techniki cyfrowej Wykład 1: Wstęp Dr hab. inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Informacje o przedmiocie Wprowadzenie Podstawy matematyczne:

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów Studia Podyplomowe INFORMATYKA Architektura komputerów Wykład I Podstawowe pojęcia 1, Cyfrowe dane 2 Wewnątrz komputera informacja ma postać fizycznych sygnałów dwuwartościowych (np. dwa poziomy napięcia,

Bardziej szczegółowo

Technika Cyfrowa 1. Wykład 7 Cyfrowe bloki funkcjonalne IV CYFROWE BLOKI FUNKCJONALNE

Technika Cyfrowa 1. Wykład 7 Cyfrowe bloki funkcjonalne IV CYFROWE BLOKI FUNKCJONALNE IV YFROW LOI FUNONLN Technika yfrowa Wykład yfrowe bloki funkcjonalne dr inż. arosław Sugier aroslaw.sugier@pwr.wroc.pl IIR, pok. - UŁY ONWRSI OÓW. ody od = sposób reprezentacji sygnału cyfrowego za pomocą

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Układy kombinacyjne. cz.2

Układy kombinacyjne. cz.2 Układy kombinacyjne cz.2 Układy kombinacyjne 2/26 Kombinacyjne bloki funkcjonalne Kombinacyjne bloki funkcjonalne - dekodery 3/26 Dekodery Są to układy zamieniające wybrany kod binarny (najczęściej NB)

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Układy kombinacyjne

Wstęp do Techniki Cyfrowej... Układy kombinacyjne Wstęp do Techniki Cyfrowej... Układy kombinacyjne Przypomnienie Stan wejść układu kombinacyjnego jednoznacznie określa stan wyjść. Poszczególne wyjścia określane są przez funkcje boolowskie zmiennych wejściowych.

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Wykład Mikroprocesory i kontrolery

Wykład Mikroprocesory i kontrolery Wykład Mikroprocesory i kontrolery Cele wykładu: Poznanie podstaw budowy, zasad działania mikroprocesorów i układów z nimi współpracujących. Podstawowa wiedza potrzebna do dalszego kształcenia się w technice

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Uniwersytet w Białymstoku Wydział Ekonomiczno-Informatyczny w Wilnie SYLLABUS na rok akademicki 2010/2011

Uniwersytet w Białymstoku Wydział Ekonomiczno-Informatyczny w Wilnie SYLLABUS na rok akademicki 2010/2011 SYLLABUS na rok akademicki 010/011 Tryb studiów Studia stacjonarne Kierunek studiów Informatyka Poziom studiów Pierwszego stopnia Rok studiów/ semestr 1(rok)/1(sem) Specjalność Bez specjalności Kod katedry/zakładu

Bardziej szczegółowo

Wprowadzenie do informatyki i użytkowania komputerów. Kodowanie informacji System komputerowy

Wprowadzenie do informatyki i użytkowania komputerów. Kodowanie informacji System komputerowy 1 Wprowadzenie do informatyki i użytkowania komputerów Kodowanie informacji System komputerowy Kodowanie informacji 2 Co to jest? bit, bajt, kod ASCII. Jak działa system komputerowy? Co to jest? pamięć

Bardziej szczegółowo

LEKCJA TEMAT: Zasada działania komputera.

LEKCJA TEMAT: Zasada działania komputera. LEKCJA TEMAT: Zasada działania komputera. 1. Ogólna budowa komputera Rys. Ogólna budowa komputera. 2. Komputer składa się z czterech głównych składników: procesor (jednostka centralna, CPU) steruje działaniem

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne Evatronix KontrolerEthernet MAC (Media Access Control)

Bardziej szczegółowo

4. MATERIAŁ NAUCZANIA

4. MATERIAŁ NAUCZANIA 4. MATERIAŁ NAUCZANIA 4.. Architektura i zasada działania komputera 4... Materiał nauczania Aby zrozumieć zasadę działania komputera należy zrozumieć operacje wykonywane przez układy cyfrowe zarówno proste,

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

WSTĘP DO ELEKTRONIKI

WSTĘP DO ELEKTRONIKI WSTĘP DO ELEKTRONIKI Część VII Układy cyfrowe Janusz Brzychczyk IF UJ Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane

Bardziej szczegółowo

12. Wprowadzenie Sygnały techniki cyfrowej Systemy liczbowe. Matematyka: Elektronika:

12. Wprowadzenie Sygnały techniki cyfrowej Systemy liczbowe. Matematyka: Elektronika: PRZYPOMNIJ SOBIE! Matematyka: Dodawanie i odejmowanie "pod kreską". Elektronika: Sygnały cyfrowe. Zasadę pracy tranzystorów bipolarnych i unipolarnych. 12. Wprowadzenie 12.1. Sygnały techniki cyfrowej

Bardziej szczegółowo

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład)

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład) Wstęp doinformatyki Układy logiczne komputerów kombinacyjne sekwencyjne Układy logiczne Układy kombinacyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 synchroniczne asynchroniczne Wstęp

Bardziej szczegółowo

LEKCJA TEMAT: Współczesne procesory.

LEKCJA TEMAT: Współczesne procesory. LEKCJA TEMAT: Współczesne procesory. 1. Wymagania dla ucznia: zna pojęcia: procesor, CPU, ALU, potrafi podać typowe rozkazy; potrafi omówić uproszczony i rozszerzony schemat mikroprocesora; potraf omówić

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Funkcja Boolowska a kombinacyjny blok funkcjonalny

Funkcja Boolowska a kombinacyjny blok funkcjonalny SWB - Kombinacyjne bloki funkcjonalne - wykład 3 asz 1 Funkcja Boolowska a kombinacyjny blok funkcjonalny Kombinacyjny blok funkcjonalny w technice cyfrowej jest układem kombinacyjnym złożonym znwejściach

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

Logiczny model komputera i działanie procesora. Część 1.

Logiczny model komputera i działanie procesora. Część 1. Logiczny model komputera i działanie procesora. Część 1. Klasyczny komputer o architekturze podanej przez von Neumana składa się z trzech podstawowych bloków: procesora pamięci operacyjnej urządzeń wejścia/wyjścia.

Bardziej szczegółowo

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów LABORATORIUM TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA Badanie rejestrów Opracował: Tomasz Miłosławski Wymagania, znajomość zagadnień: 1. Typy, parametry, zasada działania i tablice stanów przerzutników

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia Opracował: dr inż. Jarosław Mierzwa KTER INFORMTKI TEHNIZNEJ Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 202 Temat: Układy kombinacyjne 1. el ćwiczenia Ćwiczenie ma na celu praktyczne zapoznanie

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

PAMIĘCI. Część 1. Przygotował: Ryszard Kijanka

PAMIĘCI. Część 1. Przygotował: Ryszard Kijanka PAMIĘCI Część 1 Przygotował: Ryszard Kijanka WSTĘP Pamięci półprzewodnikowe są jednym z kluczowych elementów systemów cyfrowych. Służą do przechowywania informacji w postaci cyfrowej. Liczba informacji,

Bardziej szczegółowo

Sprzęt komputera - zespół układów wykonujących programy wprowadzone do pamięci komputera (ang. hardware) Oprogramowanie komputera - zespół programów

Sprzęt komputera - zespół układów wykonujących programy wprowadzone do pamięci komputera (ang. hardware) Oprogramowanie komputera - zespół programów Sprzęt komputera - zespół układów wykonujących programy wprowadzone do pamięci komputera (ang. hardware) Oprogramowanie komputera - zespół programów przeznaczonych do wykonania w komputerze (ang. software).

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie x i ={,} y i ={,} w., p. Bramki logiczne czas propagacji Odpowiedź na wyjściu bramki następuje po pewnym, charakterystycznym dla danego układu czasie od momentu zmiany sygnałów wejściowych.

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW INSTYTUT YERNETYKI TEHNIZNEJ POLITEHNIKI WROŁWSKIEJ ZKŁD SZTUZNEJ INTELIGENJI I UTOMTÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 22 temat: UKŁDY KOMINYJNE. EL ĆWIZENI Ćwiczenie ma na

Bardziej szczegółowo

Kombinacyjne bloki funkcjonalne

Kombinacyjne bloki funkcjonalne Sławomir Kulesza Technika cyfrowa Kombinacyjne bloki funkcjonalne Wykład dla studentów III roku Informatyki Wersja., 5//2 Bloki cyfrowe Blok funkcjonalny to układ cyfrowy utworzony z pewnej liczby elementów

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Technika mikroprocesorowa

Technika mikroprocesorowa Technika mikroprocesorowa zajmuje się przetwarzaniem danych w oparciu o cyfrowe programowalne układy scalone. Systemy przetwarzające dane w oparciu o takie układy nazywane są systemami mikroprocesorowymi

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Projektowanie. Projektowanie mikroprocesorów

Projektowanie. Projektowanie mikroprocesorów WYKŁAD Projektowanie mikroprocesorów Projektowanie układ adów w cyfrowych - podsumowanie Algebra Boole a Bramki logiczne i przerzutniki Automat skończony System binarny i reprezentacja danych Synteza logiczna

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

Podstawy Informatyki JA-L i Pamięci

Podstawy Informatyki JA-L i Pamięci Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Operator elementarny Proste układy z akumulatorem Realizacja dodawania Realizacja JAL dla pojedynczego bitu 2 Parametry

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl Systemy wbudowane Paweł Pełczyński ppelczynski@swspiz.pl 1 Program przedmiotu Wprowadzenie definicja, zastosowania, projektowanie systemów wbudowanych Mikrokontrolery AVR Programowanie mikrokontrolerów

Bardziej szczegółowo

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01 ĆWICZENIE 01 Ćwiczenie 01 - Strona nr 1 Polecenie: Bez użycia narzędzi elektronicznych oraz informatycznych, wykonaj konwersje liczb z jednego systemu liczbowego (BIN, OCT, DEC, HEX) do drugiego systemu

Bardziej szczegółowo

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych Mechatronika i inteligentne systemy produkcyjne Modelowanie systemów mechatronicznych Platformy przetwarzania danych 1 Sterowanie procesem oparte na jego modelu u 1 (t) System rzeczywisty x(t) y(t) Tworzenie

Bardziej szczegółowo

Programowanie sterowników PLC wprowadzenie

Programowanie sterowników PLC wprowadzenie Programowanie sterowników PLC wprowadzenie Zakład Teorii Maszyn i Automatyki Katedra Podstaw Techniki Felin p.110 http://ztmia.ar.lublin.pl/sips waldemar.samociuk@up.lublin,pl Sterowniki programowalne

Bardziej szczegółowo

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały:

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały: Dr inż Jan Chudzikiewicz Pokój 7/65 Tel 683-77-67 E-mail: jchudzikiewicz@watedupl Materiały: http://wwwitawatedupl/~jchudzikiewicz/ Warunki zaliczenie: Otrzymanie pozytywnej oceny z kolokwium zaliczeniowego

Bardziej szczegółowo

Kodowanie informacji. Kody liczbowe

Kodowanie informacji. Kody liczbowe Wykład 2 2-1 Kodowanie informacji PoniewaŜ komputer jest urządzeniem zbudowanym z układów cyfrowych, informacja przetwarzana przez niego musi być reprezentowana przy pomocy dwóch stanów - wysokiego i niskiego,

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Wykład jest przygotowany dla IV semestru kierunku Elektronika i Telekomunikacja. Studia I stopnia Dr inż. Małgorzata Langer Architektura komputerów Prezentacja multimedialna współfinansowana przez Unię

Bardziej szczegółowo

Architektura systemów komputerowych

Architektura systemów komputerowych Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Architektura systemów komputerowych dr Artur Bartoszewski Procesor część I 1. ALU 2. Cykl rozkazowy 3. Schemat

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Arytmetyka komputera

Arytmetyka komputera Arytmetyka komputera Systemy zapisu liczb System dziesiętny Podstawą układu dziesiętnego jest liczba 10, a wszystkie liczby można zapisywać dziesięcioma cyframi: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9. Jednostka

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Teoria przetwarzania A/C i C/A.

Teoria przetwarzania A/C i C/A. Teoria przetwarzania A/C i C/A. Autor: Bartłomiej Gorczyński Cyfrowe metody przetwarzania sygnałów polegają na przetworzeniu badanego sygnału analogowego w sygnał cyfrowy reprezentowany ciągiem słów binarnych

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze.

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze. Lista zadań do poszczególnych tematów ćwiczeń. MIERNICTWO ELEKTRYCZNE I ELEKTRONICZNE Studia stacjonarne I stopnia, rok II, 2010/2011 Prowadzący wykład: Prof. dr hab. inż. Edward Layer ćw. 15h Tematyka

Bardziej szczegółowo

Podstawy Informatyki Systemy sterowane przepływem argumentów

Podstawy Informatyki Systemy sterowane przepływem argumentów Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Komputer i jego architektura Taksonomia Flynna 2 Komputer i jego architektura Taksonomia Flynna Komputer Komputer

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EIT s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EIT s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy elektroniki cyfrowej Rok akademicki: 2030/2031 Kod: EIT-1-304-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Informatyka Specjalność:

Bardziej szczegółowo

KARTA PRZEDMIOTU. Nr Opis efektu kształcenia Metoda sprawdzenia efektu kształcenia. Forma prowadzenia zajęć

KARTA PRZEDMIOTU. Nr Opis efektu kształcenia Metoda sprawdzenia efektu kształcenia. Forma prowadzenia zajęć Z1-PU7 WYDANIE N1 Strona 1 z 1 (pieczęć wydziału) KARTA PRZEDMIOTU 1. Nazwa przedmiotu: PROJEKTOWANIE URZĄDZEŃ CYFROWYCH I i II 2. Kod przedmiotu: PUC 3. Karta przedmiotu ważna od roku akademickiego: 2012/2013

Bardziej szczegółowo

JĘZYKI PROGRAMOWANIA STEROWNIKÓW

JĘZYKI PROGRAMOWANIA STEROWNIKÓW JĘZYKI PROGRAMOWANIA STEROWNIKÓW dr inż. Wiesław Madej Wstęp Języki programowania sterowników 15 h wykład 15 h dwiczenia Konsultacje: - pokój 325A - środa 11 14 - piątek 11-14 Literatura Tadeusz Legierski,

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

Systemy bezpieczne i FTC (Niezawodne Systemy Cyfrowe)

Systemy bezpieczne i FTC (Niezawodne Systemy Cyfrowe) Systemy bezpieczne i FTC (Niezawodne Systemy Cyfrowe) dr inż Krzysztof Berezowski 220/C3 tel +48 71 320 27-59 krzysztofberezowski@pwrwrocpl 1 Wybrane kody dr inż Krzysztof Berezowski 220/C3 tel +48 71

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Technika cyfrowa i mikroprocesorowa. Zaliczenie na ocenę. Zaliczenie na ocenę

Technika cyfrowa i mikroprocesorowa. Zaliczenie na ocenę. Zaliczenie na ocenę I. KARTA PRZEDMIOTU Nazwa przedmiotu/modułu: Nazwa angielska: Kierunek studiów: Poziom studiów: Profil studiów: Jednostka prowadząca: Technika cyfrowa i mikroprocesorowa Edukacja techniczno-informatyczna

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Nazwa modułu: Technika mikroprocesorowa Rok akademicki: 2013/2014 Kod: EEL-1-616-s Punkty ECTS: 2 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika Specjalność:

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy sterowania logicznego Rok akademicki: 2030/2031 Kod: EEL-1-523-s Punkty ECTS: 5 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów asynchronicznych

Sławomir Kulesza. Projektowanie automatów asynchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów asynchronicznych Wykład dla studentów III roku Informatyki Wersja 3.0, 03/01/2013 Automaty skończone Automat skończony (Finite State Machine FSM)

Bardziej szczegółowo

Układy cyfrowe. Najczęściej układy cyfrowe służą do przetwarzania sygnałów o dwóch poziomach napięć:

Układy cyfrowe. Najczęściej układy cyfrowe służą do przetwarzania sygnałów o dwóch poziomach napięć: Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane są wartości liczbowe. Najczęściej układy cyfrowe służą do przetwarzania

Bardziej szczegółowo