LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

Wielkość: px
Rozpocząć pokaz od strony:

Download "LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017"

Transkrypt

1 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku Quartus Prime 16.1 Lite Edition 1. Cel zajęć: Zapoznanie się ze środowiskiem Quartus Prime 16.1 Lite Edition Zapoznanie się z architekturą układu Altera MAX 10 Stworzenie projektu w środowisku Quartus Prime 16.1 Lite Edition Tworzenie symulacji Synteza, fizyczna implementacja kodu w strukturę FPGA Zadania Proste struktury umożliwiające m. in.: sterowanie diodami LED z wykorzystaniem przycisków, proste konstrukcje logiczne asynchroniczne - bramki AND, OR, NAND, NOR, XOR, XNOR, multipleksery, demultipleksery, proste konstrukcje synchroniczne, wykorzystanie procesów i zmiennych - dzielniki częstotliwości, liczniki, maszyny stanowe, sterowanie diodami LED. Prowadzący może modyfikować program w zależności od postępów grupy.

2 Zadania: Uruchomić oprogramowanie klikając na ikonę programu: Quartus Prime 16.1 Lite Edition (z prawej), znajdującej się na pulpicie. Następnie poczekać na uruchomienie głównej aplikacji oprogramowania, dostarczonego przez producenta. Po chwili pojawi się głowne okno aplikacji Quartus Prime 16.1 Lite Edition: Następnie klikamy na New Project Wizard, po chwili pojawi się okienko zachęcające do akcji z informacjami o kolejnych krokach, następnie klikamy na przycisk NEXT i przechodzimy do wyboru ścieżki dostępu projektu oraz jego nazwy. 1 2 Rys 1 Pierwsze okno informacyjne podczas tworzenia nowego projektu Rys 2 Wybór ścieżki dostępu (1) oraz nazwy projektu (2)

3 Kolejnym krokiem jest wybór typu projektu, Empty project lub project template. Klikamy na pierwszy z nich, następnie przechodzimy do okna dodawania gotowych plików potrzebnych w projekcie. Rys 3 Wybór typu projektu Rys 4 Okno dodawania już gotowych plików, które mogą zostać wykorzystane w projekcie. Kolejnym krokiem jest wybór jednostki na której ma zostać wykonany projekt, należy wybrać w opcji Family rodzinę MAX 10, następnie wszystkie urządzenia w liście Device. Na koniec należy wybrać z listy Avialable devices urządzenie: 10M08DAF256C8GES Rys 5 Wybór urządzenia na którym zostanie wykonany projekt końcowy

4 Po stworzeniu projektu należy dodać pliki projektu na których zostanie wykonany projekt. W tym celu należy skorzystać z opcji: File New i wybrać w celach poznawczych opcję Block Diagram/Schematic File. Dzięki temu będzie możliwe przejście do opcji wykonywania schematu z poszczególnych elementów. Wybór elementów dodawany jest przez użycie opcji Symbol Toools., rozwijając opcję primitives logic, można wybrać np. bramkę AND w celu wykonania pierwszego projektu. Kolejnym krokiem jest akceptacja wyboru elementu i umiejscowienie go na polu schematu. Nastepnie należy dołączyć wejścia i wyjścia korzystając z opcji Pin Tool i połączyć je z nóżkami symbolu za pomocą opcji Diagonal Node Tool, nadać im nazwy A, B i X.

5 Kolejną czynnością jest wykonanie kompilacji przez wybór opcji Start Compilation. W razie prawidłowości, pozytywny proces kompilacji zostanie pokazany w okienku Massages: Kolejnym krokiem jest przejście do Analysis & Snthesis Netlist Viewers RTL Viewer. W celu podejrzenia struktury wykonanego układu. Następnie przechodząc do głównego okna należy wybrać opcję Pin Planner znajduącą się w Analysis & Snthesis I/O Assignment Analysis Po wykonaniu przypisania pinów na schemacie do pinów w fizycznym układzie. Należy zamknąć okno przejść do głównego i znów wykonać kompilacje przez wybór opcji Start Compilation. Jeśli oprogramowanie nie zgłasza żadnych błędów można przystąpić do programowania układu przez wybór opcji: Programmer.

6 Po uruchomieniu okna do programowania, należy wybrać ADD File i z katalogu output_file wybrać plik zakończony rozszerzeniem *.sof. Jeśli programator jest prawidłowo wykryty możliwe jest wykonanie programowania przez wykonanie akcji na przycisku Start

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Arkadiusz Pantoł MATERIAŁY POMOCNICZE DO KURSU TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Obsługa środowiska Quartus Prime może byd kłopotliwa, jeżeli chodzi o stworzenie samego projektu.

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Pierwsze kroki z FPGA (2)

Pierwsze kroki z FPGA (2) Pierwsze kroki z FPGA (2) Szkoła MAXimatora pierwszy projekt z edytorem schematów Projekt w FPGA 0000 D[3..0] Zerowanie (RES) LD Zmiana kierunku zliczania góra/dół U/DN Sygnał taktujący 10MHz CLK TTL74169

Bardziej szczegółowo

Scalone układy programowalne FPGA.

Scalone układy programowalne FPGA. Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu MMfpga01 MMfpga11 Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu 1 Spis treści 1. Instalacja aplikacji QUARTUS II Web Edition...3 2. Instalacja programu QUARTUS II Web

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

Podstawy programowania w środowisku Step 7

Podstawy programowania w środowisku Step 7 GRUPA MT Temat i Autor Podstawy programowania w środowisku Step 7 Krzysztof Bodzek, Arkadiusz Domoracki CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration Automation Portal 2. Konfiguracja sterownika

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Podstawy programowania w środowisku Totally Integration Automation Portal

Podstawy programowania w środowisku Totally Integration Automation Portal GRUPA MT Temat i Autor Podstawy programowania w środowisku Totally Integration Automation Portal Krzysztof Bodzek, Arkadiusz Domoracki, Grzegorz Jarek CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration

Bardziej szczegółowo

cmt + CODESYS, oraz zdalne wejścia/ wyjścia

cmt + CODESYS, oraz zdalne wejścia/ wyjścia Instrukcja użytkownika cmt + CODESYS, oraz zdalne wejścia/ wyjścia W tej instrukcji przedstawiono krok po kroku, jak skonfigurować panel cmt3090 i zdalne wejścia/ wyjścia. V1.00 Spis treści 1. Instalacja

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

5.6.2 Laboratorium: Punkty przywracania

5.6.2 Laboratorium: Punkty przywracania 5.6.2 Laboratorium: Punkty przywracania Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym ćwiczeniu stworzysz punkt przywracania i przywrócisz swój komputer do tego punktu. Zalecany sprzęt Do tego

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Programowanie Systemów Czasu Rzeczywistego

Programowanie Systemów Czasu Rzeczywistego Programowanie Systemów Czasu Rzeczywistego Laboratorium Wprowadzenie Mariusz RUDNICKI mariusz.rudnicki@eti.pg.gda.pl 2016 Spis treści Przygotowanie platform docelowej.... 3 Przygotowanie środowiska programistycznego

Bardziej szczegółowo

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka 1. Kompilacja aplikacji konsolowych w środowisku programistycznym Microsoft Visual Basic. Odszukaj w menu startowym systemu

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

HELIOS pomoc społeczna

HELIOS pomoc społeczna Instrukcja przygotowania pliku wsadowego do zasilenia SEPI przy pomocy dodatkowej aplikacji HELSepi 1. Instalacja aplikacji Pobieramy plik instalacyjny HelSEPIsetup.exe ze strony internetowej www.ops.strefa.pl

Bardziej szczegółowo

podstawowa obsługa panelu administracyjnego

podstawowa obsługa panelu administracyjnego podstawowa obsługa panelu administracyjnego Poniższy dokument opisuje podstawowe czynności i operacje jakie należy wykonać, aby poprawnie zalogować się i administrować środowiskiem maszyn wirtualnych usługi

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

CoDeSys 3 programowanie w języku drabinkowym LD

CoDeSys 3 programowanie w języku drabinkowym LD Notatka Aplikacyjna NA 03004PL Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Tworzenie projektu i dodawanie programu w LD... 3 3. Organizacja okien dla języka LD... 5 4. Składnia języka LD

Bardziej szczegółowo

podstawowa obsługa panelu administracyjnego

podstawowa obsługa panelu administracyjnego podstawowa obsługa panelu administracyjnego Poniższy dokument opisuje podstawowe czynności i operacje jakie należy wykonać, aby poprawnie zalogować się i administrować środowiskiem maszyn wirtualnych usługi

Bardziej szczegółowo

FAQ: /PL Data: 2/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem LOGO!

FAQ: /PL Data: 2/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem LOGO! Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Nowy projekt w LOGO! Soft Comfort.... 3 2.1 Nowy projekt... 3 2.2 Konfiguracja połączenia ethernetowego... 4 3 Program w LOGO! Soft Comfort...

Bardziej szczegółowo

Tworzenie programu i konfiguracja w LOGO! Soft Comfort V8

Tworzenie programu i konfiguracja w LOGO! Soft Comfort V8 Poniżej przedstawiono sposób konfiguracji komunikacji pomiędzy przekaźnikiem programowalnym LOGO! 8 oraz panelem SIMATIC HMI. W przykładzie wykorzystano panel KTP700 Basic PN oraz oprogramowanie WinCC

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Wer

Quartus. Rafał Walkowiak IIn PP Wer Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

PRZETWORNIK USB - RS232

PRZETWORNIK USB - RS232 PRZETWORNIK USB - RS232 Przewodnik szybkiej instalacji Windows 7 DA-70159 Krok 1: Krok 2: Włożyć płytę CD ze sterownikiem do napędu CD-ROM Podłączyć urządzenie do portu USB w PC Jeśli jest dostępne połączenie

Bardziej szczegółowo

Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051

Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051 Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051 Aby móc skorzystad z możliwości środowiska uruchomieniowego uvision v.4.0, firmy Keil należy stworzyd

Bardziej szczegółowo

INSTALACJA modemu Trimble TDL3G

INSTALACJA modemu Trimble TDL3G INSTALACJA modemu Trimble TDL3G Parowanie modemu z kontrolerem TSC2 1. Aby przystąpić do procedury parowania modemu TDL 3G z kontrolerem, należy uprzednio zainstalować w kontrolerze aplikację TDL 3G Connector

Bardziej szczegółowo

Tworzenie pliku źródłowego w aplikacji POLTAX2B.

Tworzenie pliku źródłowego w aplikacji POLTAX2B. Tworzenie pliku źródłowego w aplikacji POLTAX2B. Po utworzeniu spis przekazów pocztowych klikamy na ikonę na dole okna, przypominającą teczkę. Następnie w oknie Export wybieramy format dokumentu o nazwie

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

CANopen Addon Manual

CANopen Addon Manual Wprowadzenie. Zadaniem tego dokumentu jest przedstawienie podstawowych moŝliwości, konfiguracji oraz pierwszego uruchomienia sieci CANopen z panelami Pro-Face. Informacje ogólne. Sieć CAN open jest siecią

Bardziej szczegółowo

Uruchomienie programu COMPAS 2026LAN

Uruchomienie programu COMPAS 2026LAN Zakład Elektroniki COMPAS 05-110 Jabłonna ul. Modlińska 17 B tel. (+48 22) 782-43-15 fax. (+48 22) 782-40-64 e-mail: ze@compas.com.pl http://www.compas.com.pl PIERWSZE KROKI W PROGRAMIE COMPAS 2026LAN

Bardziej szczegółowo

Użycie Visual Basic for Applications ("VBA")

Użycie Visual Basic for Applications (VBA) Użycie Visual Basic for Applications ("VBA") Przegląd SEE z modułem VBA Developer SEE używa języka programowania Visual Basic for Applications (VBA) pozwalającego tworzyć krótkie programy zwane "makrami".

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

Przewodnik instalacji i rozpoczynania pracy. dla DataPage+ 2012

Przewodnik instalacji i rozpoczynania pracy. dla DataPage+ 2012 Przewodnik instalacji i rozpoczynania pracy dla DataPage+ 2012 Pomoc aktualizowano ostatnio: 29 sierpnia 2012 Spis treści Instalowanie wymaganych wstępnie komponentów... 1 Przegląd... 1 Krok 1: Uruchamianie

Bardziej szczegółowo

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 2. Analiza wielkosygnałowa Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse).

Bardziej szczegółowo

Instrukcja obsługi aplikacji Karty Pojazdów dla Dealerów Samochodowych

Instrukcja obsługi aplikacji Karty Pojazdów dla Dealerów Samochodowych Instrukcja obsługi aplikacji Karty Pojazdów dla Dealerów Samochodowych ver. 0.6 1 Instalacja 1. Proces instalacji należy rozpocząć od sprawdzenia, czy w systemie MS Windows jest zainstalowana aplikacja

Bardziej szczegółowo

DEMERO Automation Systems

DEMERO Automation Systems Programowanie wektorowych przetwornic częstotliwości serii POSIDRIVE FDS5000 / MDS5000 i serwonapędów POSIDRIVE MDS5000 / POSIDYN SDS5000 firmy Stober Antriebstechnik Konfiguracja parametrów w programie

Bardziej szczegółowo

Zadanie 2. Tworzenie i zarządzanie niestandardową konsolą MMC

Zadanie 2. Tworzenie i zarządzanie niestandardową konsolą MMC Zadanie 2. Tworzenie i zarządzanie niestandardową konsolą MMC W tym zadaniu utworzymy niestandardową konsolę MMC. Będziemy dodawać, usuwać i zmieniać kolejność przystawek. Następnie przygotujemy konsolę

Bardziej szczegółowo

ZL19PRG. Programator USB dla układów PLD firmy Altera

ZL19PRG. Programator USB dla układów PLD firmy Altera ZL19PRG Programator USB dla układów PLD firmy Altera Nowoczesny programator i konfigurator układów PLD produkowanych przez firmę Altera, w pełni zgodny ze standardem USB Blaster, dzięki czemu współpracuje

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Instalacja i konfiguracja IIS-a na potrzeby dostępu WEBowego/Secure

Instalacja i konfiguracja IIS-a na potrzeby dostępu WEBowego/Secure Instalacja i konfiguracja IIS-a na potrzeby dostępu WEBowego/Secure Viewer-a do aplikacji Wonderware InTouch Machine Edition Informator Techniczny Wonderware nr 164 27.06.2017 r. INSTALACJA MICROSOFT INTERNET

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017 Politechnika Wrocławska, Wydział Elektroniki Mikrosystemów i Fotoniki Wydziałowy Zakład Metrologii Mikro- i Nanostruktur LABORATORIUM UKŁADÓW PROGRAMOWALNYCH PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR

Bardziej szczegółowo

W kolejnym etapie wypełniamy wszystkie pola formularza (rys. 3) potrzebne do utworzenia konta pocztowego

W kolejnym etapie wypełniamy wszystkie pola formularza (rys. 3) potrzebne do utworzenia konta pocztowego Jak stworzyć wizytówkę firmy w Google Maps? Tworzenie wizytówki Google odbywa się w trzech etapach: 1. Założenie konta pocztowego w Google 2. Stworzenie wizytówki w Google Maps 3. Publikacja wizytówki

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200 Za pomocą oprogramowania PC Access oraz programu Microsoft Excel moŝliwa jest prosta wizualizacja programów wykonywanych na sterowniku SIMATIC S7-200. PC Access umoŝliwia podgląd wartości zmiennych oraz

Bardziej szczegółowo

Tomasz Greszata - Koszalin

Tomasz Greszata - Koszalin T: Wirtualizacja systemu Linux Ubuntu w maszynie wirtualnej VirtualBox. Zadanie1. Odszukaj w serwisie internetowym dobreprogramy.pl informacje na temat programu Oracle VM VirtualBox. VirtualBox to oprogramowanie

Bardziej szczegółowo

Modelowanie obiektowe - Ćw. 1.

Modelowanie obiektowe - Ćw. 1. 1 Modelowanie obiektowe - Ćw. 1. Treść zajęć: Zapoznanie z podstawowymi funkcjami programu Enterprise Architect (tworzenie nowego projektu, korzystanie z podstawowych narzędzi programu itp.). Enterprise

Bardziej szczegółowo

Instrukcja instalacji programu ARPunktor wraz z serwerem SQL 2005 Express

Instrukcja instalacji programu ARPunktor wraz z serwerem SQL 2005 Express Instrukcja instalacji programu ARPunktor wraz z serwerem SQL 2005 Express 1. Przygotowanie do instalacji W celu zainstalowania aplikacji należy ze strony internetowej pobrać następujący plik: http://in.take.pl/arpunktor/instalacja.zip.

Bardziej szczegółowo

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 SPIS TREŚCI SPIS TREŚCI...2 WSTĘP...2 INSTRUKCJA INSTALACJI I KONFIGURACJI...3 SCHEMAT INSTALACJI KARTY SIM W SE GC85...3 INSTALACJA

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

Programowanie sterowników B&R

Programowanie sterowników B&R POLITECHNIKA POZNAŃSKA Programowanie sterowników B&R Instrukcja nr 2 Język Structured Text ST Arkadiusz Kubacki 2015-10-01 1. Tworzenie nowego projektu w Automation Studio Po uruchomieniu programu Automation

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka 1. Kompilacja aplikacji konsolowych w środowisku programistycznym Microsoft Visual Basic. Odszukaj w menu startowym systemu

Bardziej szczegółowo

Załącznik 1 instrukcje instalacji

Załącznik 1 instrukcje instalacji Załącznik 1 instrukcje instalacji W poniższym załączniku przedstawione zostaną instrukcje instalacji programów wykorzystanych w trakcie tworzenia aplikacji. Poniższa lista przedstawia spis zamieszczonych

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Laboratorium - Instalacja Virtual PC

Laboratorium - Instalacja Virtual PC 5.0 5.4.1.4 Laboratorium - Instalacja Virtual PC Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium zainstalujesz i skonfigurujesz Tryb XP w Windows 7. Następnie uruchomisz podstawowe

Bardziej szczegółowo

Wprowadzenie do programu Altera Quartus II

Wprowadzenie do programu Altera Quartus II Wprowadzenie do programu Altera Quartus II Opracował: mgr inż. Leszek Ciopiński W opracowaniu zostały użyte materiały pochodzące z plików pomocy programu Altera Quartus II Spis treści 1. Wprowadzenie...1

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Laboratorium - Archiwizacja i odzyskiwanie danych w Windows Vista

Laboratorium - Archiwizacja i odzyskiwanie danych w Windows Vista 5.0 10.3.1.5 Laboratorium - Archiwizacja i odzyskiwanie danych w Windows Vista Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium wykonasz kopię zapasową danych. Przeprowadzisz również

Bardziej szczegółowo

Laboratorium - Archiwizacja i odzyskiwanie danych w Windows 7

Laboratorium - Archiwizacja i odzyskiwanie danych w Windows 7 5.0 10.3.1.4 Laboratorium - Archiwizacja i odzyskiwanie danych w Windows 7 Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium wykonasz kopię zapasową danych. Przeprowadzisz również odzyskiwanie

Bardziej szczegółowo

Instalacja i opis podstawowych funkcji programu Dev-C++

Instalacja i opis podstawowych funkcji programu Dev-C++ Instalacja i opis podstawowych funkcji programu Dev-C++ Przed rozpoczęciem programowania musimy zainstalować i przygotować kompilator. Spośród wielu dostępnych kompilatorów polecam aplikację Dev-C++, ze

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

MOD - 11. Xmega explore z ATXmega256A3BU. sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl blog.modulowo.

MOD - 11. Xmega explore z ATXmega256A3BU. sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl blog.modulowo. MOD - 11 Xmega explore z ATXmega256A3BU Sklep firmowy: Kursy i instrukcje: Dokumentacje techniczne: Aplikacje i projekty: Aktualności: sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl

Bardziej szczegółowo

Systemy zarządzania produkcją - MES

Systemy zarządzania produkcją - MES Systemy zarządzania produkcją - MES Laboratorium 1: Instalacja i konfiguracja środowiska Qcadoo MES 2014 Instalacja środowiska Qcadoo MES Najprostszym sposobem instalacji środowiska Qcadoo MES jest pobranie

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Rys. 1. Brama przesuwna do wykonania na zajęciach

Rys. 1. Brama przesuwna do wykonania na zajęciach Programowanie robotów off-line 2 Kuka.Sim Pro Import komponentów do środowiska Kuka.Sim Pro i modelowanie chwytaka. Cel ćwiczenia: Wypracowanie umiejętności dodawania własnych komponentów do programu oraz

Bardziej szczegółowo

Instrukcja obsługi programu CMS Dla rejestratorów HANBANG

Instrukcja obsługi programu CMS Dla rejestratorów HANBANG Instrukcja obsługi programu CMS Dla rejestratorów HANBANG 1. Wymagania sprzętowe: Minimalne wymagania sprzętowe: System operacyjny: Windows Serwer 2003 Standard Edition SP2 Baza danych: Mysql5.0 Procesor:

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów

Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów Nr i temat ćwiczenia Nr albumu Grupa Rok S 3. Konfiguracja systemu Data wykonania ćwiczenia N Data oddania sprawozdania

Bardziej szczegółowo

Aby uruchomić Multibooka, należy podłączyć nośnik USB do gniazda USB w komputerze, na którym program ma być używany.

Aby uruchomić Multibooka, należy podłączyć nośnik USB do gniazda USB w komputerze, na którym program ma być używany. Uruchamianie Multibooka. Aby uruchomić Multibooka, należy podłączyć nośnik USB do gniazda USB w komputerze, na którym program ma być używany. 1. Uruchomienie Multibooka Po podłączeniu nośnika i wykryciu

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Opis szybkiego uruchomienia programu APBSoft

Opis szybkiego uruchomienia programu APBSoft Opis szybkiego uruchomienia programu APBSoft www.telmatik.pl Program APBSoft należy instalować z otrzymanej płyty CD albo pobrać ze strony www.telmatik.pl. W drugim przypadku program dostarczany jest w

Bardziej szczegółowo

CoDeSys 3 programowanie w języku FBD

CoDeSys 3 programowanie w języku FBD Notatka Aplikacyjna NA 03005PL Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Tworzenie projektu i dodawanie programu w... 3 3. Organizacja okien dla języka FBD... 5 4. Składnia języka FBD

Bardziej szczegółowo

Instrukcja instalacji systemu. CardioScan 10, 11 i 12

Instrukcja instalacji systemu. CardioScan 10, 11 i 12 Instrukcja instalacji systemu CardioScan 10, 11 i 12 w wersji 76a/77a (pliki pobrane ze strony: http://www.oxford.com.pl/pobieranie/) Grudzień 2014 Strona 2 Instrukcja instalacji systemu CardioScan 10,

Bardziej szczegółowo

Programowanie sterowników B&R

Programowanie sterowników B&R POLITECHNIKA POZNAŃSKA Programowanie sterowników B&R Instrukcja nr 1 Język drabinkowy LD Arkadiusz Kubacki 2015-10-01 1. Tworzenie nowego projektu w Automation Studio Po uruchomieniu programu Automation

Bardziej szczegółowo

PLUTO Sterownik bezpieczeństwa Skrócona Instrukcja obsługi oprogramowania. PlutoProgrammingManualPL_v7A.pdf 1

PLUTO Sterownik bezpieczeństwa Skrócona Instrukcja obsługi oprogramowania. PlutoProgrammingManualPL_v7A.pdf 1 PLUTO Sterownik bezpieczeństwa Skrócona Instrukcja obsługi oprogramowania PlutoProgrammingManualPL_v7A.pdf 1 www.jokabsafety.com Spis treści 1. Instalacja oprogramowania 3 2. Podłączenie do komputera..5

Bardziej szczegółowo

Informatyka I : Tworzenie projektu

Informatyka I : Tworzenie projektu Tworzenie nowego projektu w programie Microsoft Visual Studio 2013 Instrukcja opisuje w jaki sposób stworzyć projekt wykorzystujący bibliotekę winbgi2 w programie Microsoft Visual Studio 2013. 1. Otwórz

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA

Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA Laboratorium nr 8 PODSTAWY OBSŁUGI PROGRAMU WONDERWARE INTOUCH 10.1 Opracował: mgr inż. Marcel Luzar Cel: Konfiguracja

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Instrukcja instalacji dla esam PDA

Instrukcja instalacji dla esam PDA Instrukcja instalacji dla esam PDA W celu prawidłowego przeprowadzenia instalacji PC powinien być podłączony do Internetu. System Operacyjny PC = WIN XP lub wyższy HTC PDA = WIN Mobile 6.1 lub wyższy Pamięć

Bardziej szczegółowo