LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017

Wielkość: px
Rozpocząć pokaz od strony:

Download "LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017"

Transkrypt

1 Politechnika Wrocławska, Wydział Elektroniki Mikrosystemów i Fotoniki Wydziałowy Zakład Metrologii Mikro- i Nanostruktur LABORATORIUM UKŁADÓW PROGRAMOWALNYCH PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017 Prowadzący: dr inż. Daniel Kopiec, mgr inż. Maciej Rudek Spis treści: 1. Zadanie 1 interfejs JTAG 2. Zadanie 2 pierwszy program, porty GPIO 3. Zadanie 3 procedura obsługi portów FGPIO

2 1. Na podstawie dokumentacji zestawu uruchomieniowego EVBmm oraz modułu procesora MMlpc23xx za pomocą przewodów pinowych połączyć interfejs JTAG z wyprowadzeniami płytki mikrokontrolera. Rys. 1 Moduł mikrokontrolera MMlpc23xx oraz rozmieszczenie poszczególnych wyprowadzeń Rys. 2 Opis wyprowadzeń złącza modułu MMlpc23xx z zaznaczeniem tych, które są używane w interfejsie JTAG. Piny oznaczone kolorem czerwonym JTAG, niebieskim GND, zółty zasilanie +3,3V

3 Interfejs JTAG stanowi standard diagnostyczny IEEE , który umożliwia również programowanie oraz uruchamianie układów programowalnych i systemów mikroprocesorowych. Niewątpliwą zaletą standardu JTAG jest fakt, że umożliwia programowanie układu bezpośrednio w gotowych urządzeniu, co przede wszystkim umożliwia szybkie tworzenie i modyfikacje prototypowych wersji urządzeń. Standardowy interfejs JTAG posiada następujące linie wykorzystywane w komunikacji: TDI (ang. Test Data In) TDO (ang. Test Data Out) TCK (ang. Test Clock) TMS (ang. Test Mode Select) TRST (ang. Test Reset) wejście danych wyjście danych wejście sygnału zegarowego wybór trybu pracy resetowanie interfejsu JTAG (opcjonalne) Interfejs układów ARM posiada kilka dodatkowych linii jak np. VREF (ang. Voltage Reference) RTCK (ang. Return Test Clock) SRST (ang. System Reset) DBGRQ (ang. Debug Request) DBGACK (ang. Debug Acknowledge) pomiar napięcia zasilającego układ, kontrola działania interfejsu cyfrowego sygnał umożliwiający negocjację szybkości transmisji danych pomiędzy interfejsem JTAG a układem ARM reset systemu, całego układu mikrokontrolera, stan aktywny niski, czasem oznaczony nsrst sygnał żądania pracy krokowej procesora, stan wysoki oznacza wejście w tryb debugowania potwierdzenie wejścia w tryb debugowania, stan aktywny wysoki Rys. 3 J-Link Edu, programator, debuger działający w standardzie JTAG oraz opis wyprowadzeń złącza IDC 20

4 Podczas łączenie przewodów pinowych należy również pamiętać o podłączeniu zasilania +3,3V do modułu procesora oraz wszystkich pinów GND do masy płyty głównej. Poprawność połączeń można sprawdzić uruchamiając środowisko Keil µvision 5 przechodząc do zakładki Flash a następnie Configure Flash Tools następnie zakładka DEBUG i w części J-Link klikamu Settings - w momencie kiedy zależy nam na pracy z rzeczywistym układem należy wybrać pracę z odpowiednim układem debugera/programatora, w naszym wypadku stosujemy debuger J-Link EDU. Po wybraniu opcji Target w częsci Info wyświetlonego okna otrzymamy kilka ważnych informacji dotyczących naszego mikrokontrolera jak np. sygnatura - inaczej ID w zapisie hex. Wartość ta powinna być różna od 0. Wystąpienie wartości 0 lub 1 może sugerować: błędne podłączenie programatora pod interfejs JTAG (najczęściej), nadpisanie ważnych obszarów pamięci mikrokontrolera i tym samym brak dostępu do obszaru bootloadera. Wówczas konieczne staje się skasowanie pamięci procesora za pomocą interfejsu szeregowego (opis procedury zawarty jest w pliku %20Wprowadzenie.pdf).

5 2. W środowisku Keil µvision 5 stworzyć nowy projekt, gdzie kod źródłowy pisany będzie w języku C, następnie: stworzyć szkielet programu biblioteki, pętla główna itp. wykorzystując pin P1.20 portu GPIO układu LPC2368 stworzyć program tzw. migającej diody. W programie pętla opóźniająca powinna być zapisana jako osobna funkcja wywoływana z pętli głównej programu, w kolejnym kroku, pętlę opóźniającą pisaną w języku C zastąpić funkcją napisaną w asemblerze z wykorzystaniem dowolnych rozkazów 3. Na podstawie dokumentu USER MANUAL LPC2368 [2] zaproponować procedurę obsługi portów FGPIO. Jaka jest różnica pomiędzy portem GPIO a portem FGPIO? korzystając z języka C napisać kod który: Zapali diodkę D1 na porcie P1.20 z obsługą FGPIO i po czasie ją zgasi Zapali diodkę D2 na porcie P1.21 z obsługą GPIO i po czasie ją zgasi 4. Odczytać stan trzech dowolnych portów i korzystając z języka C napisać kod który: Po przyciśnięciu przycisku P1 zgasi diodę D1 Po przyciśnięciu przycisku P2 zapali diodę D1 Po przyciśnięciu przycisku P3 będzie pulsować diodą z częstotliwością około 1 Hz Literatura: [1] [2]

MikloBit ul. Cyprysowa 7/5 43-600 Jaworzno. www.miklobit.com support@miklobit.com. JTAG + ISP dla AVR. rev. 1.1 2006.03.

MikloBit ul. Cyprysowa 7/5 43-600 Jaworzno. www.miklobit.com support@miklobit.com. JTAG + ISP dla AVR. rev. 1.1 2006.03. MikloBit ul. Cyprysowa 7/5 43-600 Jaworzno www.miklobit.com support@miklobit.com JTAG + ISP dla AVR rev. 1.1 2006.03.10 Spis treści 1.Wprowadzenie... 3 2.Interfejs JTAG... 4 2.1.Złącze interfejsu JTAG...

Bardziej szczegółowo

USB AVR JTAG. Instrukcja obsługi rev.1.0. Copyright 2011 SIBIT www.sibit.pl

USB AVR JTAG. Instrukcja obsługi rev.1.0. Copyright 2011 SIBIT www.sibit.pl USB Instrukcja obsługi rev.1.0 1 Spis treści 1.Wprowadzenie... 3 2. Opis wyprowadzeń... 4 3. Podłączenie programatora do układu...6 4. Instalacja sterowników... 7 5. Zmiana firmware... 12 6. Konfiguracja

Bardziej szczegółowo

AVR DRAGON. INSTRUKCJA OBSŁUGI (wersja 1.0)

AVR DRAGON. INSTRUKCJA OBSŁUGI (wersja 1.0) AVR DRAGON INSTRUKCJA OBSŁUGI (wersja 1.0) ROZDZIAŁ 1. WSTĘP... 3 ROZDZIAŁ 2. ROZPOCZĘCIE PRACY Z AVR DRAGON... 5 ROZDZIAŁ 3. PROGRAMOWANIE... 8 ROZDZIAŁ 4. DEBUGOWANIE... 10 ROZDZIAŁ 5. SCHEMATY PODŁĄCZEŃ

Bardziej szczegółowo

Laboratorium Procesorów Sygnałowych

Laboratorium Procesorów Sygnałowych Laboratorium Procesorów Sygnałowych Moduł STM32F407 Discovery GPIO, C/A, akcelerometr I. Informacje wstępne Celem ćwiczenia jest zapoznanie z: Budową i programowaniem modułu STM32 F4 Discovery Korzystaniem

Bardziej szczegółowo

Programator ICP mikrokontrolerów rodziny ST7. Full MFPST7. Lite. Instrukcja użytkownika 03/09

Programator ICP mikrokontrolerów rodziny ST7. Full MFPST7. Lite. Instrukcja użytkownika 03/09 Full Lite MFPST7 Programator ICP mikrokontrolerów rodziny ST7 Instrukcja użytkownika 03/09 Spis treści WSTĘP 3 CZYM JEST ICP? 3 PODŁĄCZENIE PROGRAMATORA DO APLIKACJI 4 OBSŁUGA APLIKACJI ST7 VISUAL PROGRAMMER

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Wprowadzenie - Keil µvision, konfiguracja...

Wprowadzenie - Keil µvision, konfiguracja... Politechnika Wrocławska, Wydział Elektroniki Mikrosystemów i Fotoniki Wydziałowy Zakład Metrologii Mikro- i Nanostruktur LABORATORIUM UKŁADÓW PROGRAMOWALNYCH PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR

Bardziej szczegółowo

DOKUMENTACJA PROJEKTU

DOKUMENTACJA PROJEKTU Warszawa, dn. 16.12.2015r. Student: Artur Tynecki (E.EIM) atynecki@stud.elka.pw.edu.pl Prowadzący: dr inż. Mariusz Jarosław Suchenek DOKUMENTACJA PROJEKTU Projekt wykonany w ramach przedmiotu Mikrokontrolery

Bardziej szczegółowo

Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP

Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP ZL32ARM ZL32ARM z mikrokontrolerem LPC1114 (rdzeń Cotrex-M0) dzięki wbudowanemu programatorowi jest kompletnym zestawem uruchomieniowym.

Bardziej szczegółowo

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Politechnika Śląska w Gliwicach Wydział Automatyki Elektroniki i Informatyki Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Promotor dr inż. Jacek Loska Wojciech Klimeczko

Bardziej szczegółowo

Moduł prototypowy X3-DIL64 z procesorem ATxmega128A3U-AU

Moduł prototypowy X3-DIL64 z procesorem ATxmega128A3U-AU Moduł prototypowy X3-DIL64 z procesorem ATxmega128A3U-AU wersja 2.1 Moduł X3-DIL64 umożliwia prototypowanie urządzeń z wykorzystaniem procesora ATmega128A3U-AU oraz naukę programowania nowoczesnych mikrokontrolerów

Bardziej szczegółowo

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 1. Cel ćwiczenia Celem ćwiczenia jest pokazanie budowy systemów opartych na układach Arduino. W tej części nauczymy się podłączać różne czujników,

Bardziej szczegółowo

MultiTool instrukcja użytkownika 2010 SFAR

MultiTool instrukcja użytkownika 2010 SFAR MultiTool instrukcja użytkownika 2010 SFAR Tytuł dokumentu: MultiTool instrukcja użytkownika Wersja dokumentu: V1.0 Data: 21.06.2010 Wersja urządzenia którego dotyczy dokumentacja: MultiTool ver. 1.00

Bardziej szczegółowo

ARS3 RZC. z torem radiowym z układem CC1101, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS3 Rxx. dokument DOK 01 05 12. wersja 1.

ARS3 RZC. z torem radiowym z układem CC1101, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS3 Rxx. dokument DOK 01 05 12. wersja 1. ARS RZC projekt referencyjny płytki mikrokontrolera STMF z torem radiowym z układem CC0, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS Rxx dokument DOK 0 0 wersja.0 arskam.com . Informacje

Bardziej szczegółowo

Instrukcja obsługi debugera JTAG-AVR USB v2

Instrukcja obsługi debugera JTAG-AVR USB v2 Instrukcja obsługi debugera JTAG-AVR USB v2 Instrukcja obsługi JTAG-AVR USB v2 www.and-tech.pl Strona 1 Spis treści 1. Parametry debugera...3 2. Instalacja...4 3. Użycie debugera JATG-AVR USB v2 w środowisko

Bardziej szczegółowo

Murasaki Zou むらさきぞう v1.1 Opis programowania modułu LPC2368/LPC1768 z wykorzystaniem ISP

Murasaki Zou むらさきぞう v1.1 Opis programowania modułu LPC2368/LPC1768 z wykorzystaniem ISP Murasaki Zou むらさきぞう v1.1 Opis programowania modułu LPC2368/LPC1768 z wykorzystaniem ISP Moduł mikroprocesorowy Murasaki Zou v1.1 wyposaŝony jest w jeden z dwóch mikrokontrolerów tj. ARM7 LPC2368, oraz

Bardziej szczegółowo

LITEcompLPC1114. Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Sponsorzy:

LITEcompLPC1114. Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Sponsorzy: LITEcompLPC1114 Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Bezpłatny zestaw dla Czytelników książki Mikrokontrolery LPC1100. Pierwsze kroki LITEcompLPC1114 jest doskonałą platformą mikrokontrolerową

Bardziej szczegółowo

Płytka uruchomieniowa XM32

Płytka uruchomieniowa XM32 2015 Płytka uruchomieniowa XM32 Instrukcja obsługi - www.barion-st.com 2015-08-07 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest XM32?... 3 1.2 Budowa oraz parametry techniczne... 3 1.3 Schemat połączeń...

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

USB AVR BOX II DEBUGGER & ISP PROGRAMMER REV. 1.2

USB AVR BOX II DEBUGGER & ISP PROGRAMMER REV. 1.2 USB AVR BO II DEBUGGER & ISP PROGRAMMER REV. 1.2 1.Wstęp... 2 2. Opis wyprowadzeń... 3 3. Programator ISP... 5 3.1 Opis złącza ISP... 5 3.2 Zmiana firmware... 7 3.3 Lista obsługiwanych procesorów... 9

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701.

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy. SigmaDSP jest niedrogim zestawem uruchomieniowym dla procesora DSP ADAU1701 z rodziny SigmaDSP firmy Analog Devices, który wraz z programatorem USBi i darmowym środowiskiem

Bardziej szczegółowo

Aoi Ryuu. v2.0 moduł z mikroprocesorem Atmega169 dla makiety dydaktycznej Akai Kaba

Aoi Ryuu. v2.0 moduł z mikroprocesorem Atmega169 dla makiety dydaktycznej Akai Kaba Aoi Ryuu v.0 moduł z mikroprocesorem Atmega69 dla makiety dydaktycznej Akai Kaba Moduł mikroprocesorowy Aoi Ryuu v.0 jest przeznaczony do współpracy z makietą dydaktyczną Akai Kaba v.x. Wyposażony został

Bardziej szczegółowo

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32Butterfly2 Zestaw STM32Butterfly2 jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

AsLinkEx instrukcja obsługi

AsLinkEx instrukcja obsługi AsLinkEx instrukcja obsługi info@arduinosolutions.com AsLinkEx jest narzędziem dzięki któremu mamy możliwość programowania oraz debuggowania mikrokontrolerów z rdzeniem ARM Cortex M3 oraz ARM Cortex M0.

Bardziej szczegółowo

Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8

Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8 Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8 ZL30PRG Nowoczesny programator-debugger z USB obsługujący interfejsy JTAG (mikrokontrolery STM32) i SWIM (mikrokontrolery STM8). W pełni

Bardziej szczegółowo

STM32 Butterfly. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32 Butterfly. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32 Butterfly Zestaw STM32 Butterfly jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi

BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi Copyright (c) 2007 2008 Boff Spis treści 1. Opis urządzenia...3 2. Instalacja oprogramowania w Windows...4 3. Instalacja oprogramowania w UBUNTU

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

Płytka uruchomieniowa XM64

Płytka uruchomieniowa XM64 2015 Płytka uruchomieniowa XM64 - Instrukcja obsługi www.barion-st.com 2015-05-12 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest XM64?... 3 1.2 Budowa oraz parametry techniczne... 3 1.3 Schemat połączeń...

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 16 06x_EIA232_4 Opis ogólny Moduł zawiera transceiver EIA232 typu MAX242, MAX232 lub podobny, umożliwiający użycie linii RxD, TxD, RTS i CTS interfejsu EIA232 poprzez złącze typu

Bardziej szczegółowo

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz dokument DOK 04-05-12 wersja 1.0 arskam.com www.arskam.com 1 firma ARIES Warszawa Polska 1. Zastosowania

Bardziej szczegółowo

Instrukcja programowania płytek edycji 2014

Instrukcja programowania płytek edycji 2014 Instrukcja programowania płytek edycji 2014 Spis treści 1. Opis płytki procesorowej (sumo_base_5)...1 1.1. Podstawowe elementy płytki...2 1.2. Pozostałe elementy płytki...3 2. Opis płytki sterującej napędami

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i Mikrokontrolery Dostęp do portów mikrokontrolera ATmega32 język C laboratorium: 10 autorzy: dr

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Programator procesorów rodziny AVR AVR-T910

Programator procesorów rodziny AVR AVR-T910 Programator procesorów rodziny AVR AVR-T910 Instrukcja obsługi Opis urządzenia AVR-T910 jest urządzeniem przeznaczonym do programowania mikrokontrolerów rodziny AVR firmy ATMEL. Programator podłączany

Bardziej szczegółowo

BF30 OCDLINK/USBASP ARM-JTAG/AVR-ISP Programmer-debugger Instrukcja obsługi

BF30 OCDLINK/USBASP ARM-JTAG/AVR-ISP Programmer-debugger Instrukcja obsługi BF30 OCDLINK/USBASP ARM-JTAG/AVR-ISP Programmer-debugger Instrukcja obsługi BoFF 2007 2009 Spis treści 1. Opis urządzenia...3 2. Instalacja oprogramowania w Windows...5 2.1 Instalacja oprogramowania dla

Bardziej szczegółowo

MMxmega. Instrukcja uŝytkownika. Many ideas one solution

MMxmega. Instrukcja uŝytkownika. Many ideas one solution MMxmega Instrukcja uŝytkownika Evalu ation Board s for 51, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules for microcontrollers, etherdesigning Evaluation

Bardziej szczegółowo

ZL24PRG. Interfejs JTAG dla mikrokontrolerów ARM

ZL24PRG. Interfejs JTAG dla mikrokontrolerów ARM ZL24PRG Interfejs JTAG dla mikrokontrolerów ARM ZL24PRG to interfejs JTAG dla mikrokontrolerów z rdzeniem ARM. Umożliwia programowanie oraz debugowanie popularnych rodzin mikrokontrolerów z rdzeniem ARM

Bardziej szczegółowo

imei Instytut Metrologii, Elektroniki i Informatyki

imei Instytut Metrologii, Elektroniki i Informatyki PODSTAWY TECHNIKI MIKROPROCESOROWEJ Laboratorium Elektrotechnika, studia stacjonarne pierwszego stopnia Temat: Wprowadzenie do programowania mikrokontrolerów rodziny MCS-51 imei Instytut Metrologii, Elektroniki

Bardziej szczegółowo

ADuCino 360. Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361

ADuCino 360. Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361 Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361 ADuCino 360 Zestaw ADuCino jest tanim zestawem uruchomieniowym dla mikrokontrolerów ADuCM360 i ADuCM361 firmy Analog Devices mechanicznie kompatybilnym

Bardziej szczegółowo

Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100, które można zastosować w zestawie ZL3ARM.

Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100, które można zastosować w zestawie ZL3ARM. ZL3ARM płytka bazowa dla modułu diparm_2106 (ZL4ARM) ZL3ARM Płytka bazowa dla modułu diparm_2106 Płytkę bazową ZL3ARM opracowano z myślą o elektronikach chcących szybko poznać mozliwości mikrokontrolerów

Bardziej szczegółowo

Mikrokontrolery AVR techniczne aspekty programowania

Mikrokontrolery AVR techniczne aspekty programowania Andrzej Pawluczuk Mikrokontrolery AVR techniczne aspekty programowania Białystok, 2004 Mikrokontrolery rodziny AVR integrują w swojej strukturze między innymi nieulotną pamięć przeznaczoną na program (pamięć

Bardziej szczegółowo

KA-NUCLEO-F411CE. Płytka rozwojowa z mikrokontrolerem STM32F411CE

KA-NUCLEO-F411CE. Płytka rozwojowa z mikrokontrolerem STM32F411CE Płytka rozwojowa z mikrokontrolerem STM32F411CE to płytka rozwojowa o rozstawie złącz typowym dla Arduino UNO, bazująca na mikrokontrolerze STM32F411CE. Dzięki wbudowanemu programatorowi zgodnemu z ST-Link/v2-1,

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI. kontakt@msx-elektronika.pl

INSTRUKCJA OBSŁUGI. kontakt@msx-elektronika.pl INSTRUKCJA OBSŁUGI Programator AVR USBasp jest w pełni zgodny z programatorem USBasp, stworzonym przez Thomasa Fischla. Za jego pomocą możemy programować mikrokontrolery z rodziny AVR firmy ATMEL poprzez

Bardziej szczegółowo

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC ZL28ARM Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC Zestaw ZL28ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów AT91SAM7XC. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

Komunikacja w mikrokontrolerach Laboratorium

Komunikacja w mikrokontrolerach Laboratorium Laboratorium Ćwiczenie 4 Magistrala SPI Program ćwiczenia: konfiguracja transmisji danych między mikrokontrolerem a cyfrowym czujnikiem oraz sterownikiem wyświetlaczy 7-segmentowych przy użyciu magistrali

Bardziej szczegółowo

Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515

Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515 Laboratorium Techniki Mikroprocesorowej Informatyka studia dzienne Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515 Cel ćwiczenia Celem ćwiczenia jest poznanie możliwości nowoczesnych

Bardziej szczegółowo

KAmduino UNO. Rev Źródło:

KAmduino UNO. Rev Źródło: KAmduino UNO Rev. 20170811113756 Źródło: http://wiki.kamami.pl/index.php?title=kamduino_uno Spis treści Podstawowe cechy i parametry... 2 Wyposażenie standardowe... 3 Schemat elektryczny... 4 Mikrokontroler

Bardziej szczegółowo

Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32. Instrukcja Obsługi. SKN Chip Kacper Cyrocki Page 1

Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32. Instrukcja Obsługi. SKN Chip Kacper Cyrocki Page 1 Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32 Instrukcja Obsługi SKN Chip Kacper Cyrocki Page 1 Spis treści Wstęp... 3 Wyposażenie płytki... 4 Zasilanie... 5 Programator... 6 Diody LED...

Bardziej szczegółowo

Kod produktu: MP01611

Kod produktu: MP01611 CZYTNIK RFID ZE ZINTEGROWANĄ ANTENĄ, WYJŚCIE RS232 (TTL) Moduł stanowi tani i prosty w zastosowaniu czytnik RFID dla transponderów UNIQUE 125kHz, umożliwiający szybkie konstruowanie urządzeń do bezstykowej

Bardziej szczegółowo

Ultradźwiękowy generator mocy MARP wersja Dokumentacja techniczno-ruchowa

Ultradźwiękowy generator mocy MARP wersja Dokumentacja techniczno-ruchowa Przedsiębiorstwo Badawczo-Produkcyjne OPTEL Sp. z o.o. ul. Otwarta 10a PL-50-212 Wrocław tel.: +48 (071) 329 68 54 fax.: +48 (071) 329 68 52 e-mail: optel@optel.pl http://www.optel.pl Ultradźwiękowy generator

Bardziej szczegółowo

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group Użycie złącza JTAG w systemach mikroprocesorowych do testowania integralności połączeń systemu oraz oprogramowania zainstalowanego w pamięciach stałych. JTAG Joint Test Action Group mgr inż. Tadeusz Andrzejewski

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II PRE LAB Instalacja środowiska Keil i konfigurowanie zestawu FRDM-KL46Z Sebastian

Bardziej szczegółowo

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO to płytka rozwojowa o funkcjonalności i wymiarach typowych dla Arduino UNO. Dzięki wbudowanemu mikrokontrolerowi ATmega328P i

Bardziej szczegółowo

Temat nr 5. System czasu rzeczywistego bazujący na stałopozycyjnym procesorze sygnałowym. LABORATORIUM Procesory i komputery przemysłowe

Temat nr 5. System czasu rzeczywistego bazujący na stałopozycyjnym procesorze sygnałowym. LABORATORIUM Procesory i komputery przemysłowe LABORATORIUM Procesory i komputery przemysłowe Katedra Systemów Elektroniki Morskiej Wydział Elektroniki Telekomunikacji i Informatyki Politechnika Gdańska Temat nr 5 System czasu rzeczywistego bazujący

Bardziej szczegółowo

ZL8AVR. Płyta bazowa dla modułów dipavr

ZL8AVR. Płyta bazowa dla modułów dipavr ZL8AVR Płyta bazowa dla modułów dipavr Zestaw ZL8AVR to płyta bazowa dla modułów dipavr (np. ZL7AVR z mikrokontrolerem ATmega128 lub ZL12AVR z mikrokontrolerem ATmega16. Wyposażono ją w wiele klasycznych

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Język C. Wykład 9: Mikrokontrolery cz.2. Łukasz Gaweł Chemia C pokój 307

Język C. Wykład 9: Mikrokontrolery cz.2. Łukasz Gaweł Chemia C pokój 307 Język C Wykład 9: Mikrokontrolery cz.2 Łukasz Gaweł Chemia C pokój 307 lukasz.gawel@pg.edu.pl Pierwszy program- powtórka Częstotliwość zegara procesora μc (należy sprawdzić z kartą techniczną μc) Dodaje

Bardziej szczegółowo

Moduł uruchomieniowy mikrokontrolera MC68HC912B32

Moduł uruchomieniowy mikrokontrolera MC68HC912B32 Instytut Cybernetyki Technicznej Systemy Mikroprocesorowe Moduł uruchomieniowy mikrokontrolera MC68HC912B32 Grzegorz Cielniak Wrocław 1999 1. Informacje ogólne Moduł uruchomieniowy jest tanim i prostym

Bardziej szczegółowo

Programator STK500v2 USB

Programator STK500v2 USB =STK500 USB= 1. Opis: STK500v2 USB jest to mikroprocesorowy programator wszystkich procesorów rodziny AVR firmy Atmel. Programator ten wykorzystuje standard USB do komunikacji pomiędzy komputerem a programowanym

Bardziej szczegółowo

ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x

ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x ZL9ARM Płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x 1 ZL9ARM to uniwersalna płyta bazowa dla modułów diparm

Bardziej szczegółowo

2. Code Composer Studio v4 zintegrowane środowisko projektowe... 41

2. Code Composer Studio v4 zintegrowane środowisko projektowe... 41 3 Wstęp...11 1. Procesory serii TMS320F2802x/3x/6x Piccolo... 15 1.1. Organizacja układów procesorowych serii F2802x Piccolo...23 1.2. Organizacja układów procesorowych serii F2803x Piccolo...29 1.3. Organizacja

Bardziej szczegółowo

ICD Wprowadzenie. Wprowadzenie. Czym jest In-Circuit Debugger? 2. O poradniku 3. Gdzie szukać dodatkowych informacji? 4

ICD Wprowadzenie. Wprowadzenie. Czym jest In-Circuit Debugger? 2. O poradniku 3. Gdzie szukać dodatkowych informacji? 4 ICD 2 Czym jest In-Circuit Debugger? 2 O poradniku 3 Gdzie szukać dodatkowych informacji? 4 ICD 1 ICD 25.08.2009 Czym jest In-Circuit Debugger? Większość procesorów dostarcza systemów debugowania (ang.

Bardziej szczegółowo

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S)

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) 1 Zestaw ZL2ARM opracowano z myślą

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Obsługa portów wejścia/wyjścia mikrokontrolera laboratorium: 02 autor: mgr inż.

Bardziej szczegółowo

UW-DAL-MAN v2 Dotyczy urządzeń z wersją firmware UW-DAL v5 lub nowszą.

UW-DAL-MAN v2 Dotyczy urządzeń z wersją firmware UW-DAL v5 lub nowszą. Dokumentacja techniczna -MAN v2 Dotyczy urządzeń z wersją firmware v5 lub nowszą. Spis treści: 1 Wprowadzenie... 3 2 Dane techniczne... 3 3 Wyprowadzenia... 3 4 Interfejsy... 4 4.1 1-WIRE... 4 4.2 RS232

Bardziej szczegółowo

ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430

ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430 ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA Mikrokontrolery z rodziny MSP430 słyną z niewielkiego poboru mocy i możliwości

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 6a Wykorzystanie USB do komunikacji z komputerem PC Mariusz Sokołowski http://www.fpga.agh.edu.pl/upt2

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Obsługa portów wyjścia procesora AVR laboratorium: 06 autor: mgr inż. Katarzyna

Bardziej szczegółowo

Kod produktu: MP01105T

Kod produktu: MP01105T MODUŁ INTERFEJSU DO POMIARU TEMPERATURY W STANDARDZIE Właściwości: Urządzenie stanowi bardzo łatwy do zastosowania gotowy interfejs do podłączenia max. 50 czujników temperatury typu DS18B20 (np. gotowe

Bardziej szczegółowo

MCAR Robot mobilny z procesorem AVR Atmega32

MCAR Robot mobilny z procesorem AVR Atmega32 MCAR Robot mobilny z procesorem AVR Atmega32 Opis techniczny Jakub Kuryło kl. III Ti Zespół Szkół Zawodowych nr. 1 Ul. Tysiąclecia 3, 08-530 Dęblin e-mail: jkurylo92@gmail.com 1 Spis treści 1. Wstęp..

Bardziej szczegółowo

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S)

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) 1 Zestaw ZL2ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się z mikrokontrolerami z rdzeniem ARM7TDMI-S.

Bardziej szczegółowo

Dariusz Kozak ZESTAW URUCHOMIENIOWY MIKROKOMPUTERÓW JEDNOUKŁADOWYCH MCS-51 ZUX51. Loader LX51 INSTRUKCJA OBSŁUGI. 2012 DK Wszystkie prawa zastrzeżone

Dariusz Kozak ZESTAW URUCHOMIENIOWY MIKROKOMPUTERÓW JEDNOUKŁADOWYCH MCS-51 ZUX51. Loader LX51 INSTRUKCJA OBSŁUGI. 2012 DK Wszystkie prawa zastrzeżone Dariusz Kozak ZESTAW URUCHOMIENIOWY MIKROKOMPUTERÓW JEDNOUKŁADOWYCH MCS-51 ZUX51 Loader LX51 INSTRUKCJA OBSŁUGI 2012 DK Wszystkie prawa zastrzeżone Kopiowanie, powielanie i rozpowszechnianie jest dozwolone

Bardziej szczegółowo

www.viaken.pl INTERFEJS DIAGNOSTYCZNY BMW INPA / ADS/ GT1/ DIS / EDIABAS INSTRUKCJA OBSŁUGI Strona 1

www.viaken.pl INTERFEJS DIAGNOSTYCZNY BMW INPA / ADS/ GT1/ DIS / EDIABAS INSTRUKCJA OBSŁUGI Strona 1 INTERFEJS DIAGNOSTYCZNY BMW INPA / ADS/ GT1/ DIS / EDIABAS INSTRUKCJA OBSŁUGI Strona 1 1. BEZPIECZEŃSTWO PRACY Przed pierwszym uruchomieniem urządzenia należy uważnie przeczytać instrukcję obsługi. Urządzenie

Bardziej szczegółowo

ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler

ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler ZL11PRG v.2 Uniwersalny programator ISP Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler Nowoczesna konstrukcja czyni z programatora ZL11PRG v.2 urządzenie niezwykle

Bardziej szczegółowo

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega32 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

Instrukcja obsługi. Zamek szyfrowy ATLO-RM (wersja bez klawiatury) Dane techniczne oraz treść poniższej instrukcji mogą ulec zmianie bez uprzedzenia.

Instrukcja obsługi. Zamek szyfrowy ATLO-RM (wersja bez klawiatury) Dane techniczne oraz treść poniższej instrukcji mogą ulec zmianie bez uprzedzenia. Instrukcja obsługi Zamek szyfrowy ATLO-RM (wersja bez klawiatury) Dane techniczne oraz treść poniższej instrukcji mogą ulec zmianie bez uprzedzenia. Odbiornik zgodny jest z warunkami dyrektywy 89/336/EEC

Bardziej szczegółowo

ICD Interfejs JTAG dla DSP56800E. Mariusz Janiak

ICD Interfejs JTAG dla DSP56800E. Mariusz Janiak Na prawach rękopisu INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ Raport serii SPR nr 5/2005 ICD Interfejs JTAG dla DSP56800E Mariusz Janiak Słowa kluczowe: mikrokontroler DSP, płytka drukowana,

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Obsługa portów wejścia i wyjścia procesora AVR joystick i diody laboratorium: 07

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

LITEcomp. Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19

LITEcomp. Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19 LITEcomp Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19 Moduł LITEcomp to miniaturowy komputer wykonany na bazie mikrokontrolera z rodziny ST7FLITE1x. Wyposażono go w podstawowe peryferia, dzięki

Bardziej szczegółowo

Zestaw Startowy EvB. Więcej informacji na stronie: http://and-tech.pl/zestaw-evb-5-1/

Zestaw Startowy EvB. Więcej informacji na stronie: http://and-tech.pl/zestaw-evb-5-1/ Zestaw Startowy EvB Zestaw startowy EvB 5.1 z mikrokontrolerem ATMega32 jest jednym z najbardziej rozbudowanych zestawów dostępnych na rynku. Został zaprojektowany nie tylko z myślą o początkujących adeptach

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

Laboratorium 1: Wprowadzenie do środowiska programowego. oraz podstawowe operacje na rejestrach i komórkach pamięci

Laboratorium 1: Wprowadzenie do środowiska programowego. oraz podstawowe operacje na rejestrach i komórkach pamięci Laboratorium 1: Wprowadzenie do środowiska programowego oraz podstawowe operacje na rejestrach i komórkach pamięci Zapoznanie się ze środowiskiem programowym: poznanie funkcji asemblera, poznanie funkcji

Bardziej szczegółowo

Kabelki stykowe. Szybkie łączenie elementów elektronicznych. Żywe kolory ułatwiają utrzymanie porządku w układzie.

Kabelki stykowe. Szybkie łączenie elementów elektronicznych. Żywe kolory ułatwiają utrzymanie porządku w układzie. Kabelki stykowe Szybkie łączenie elementów elektronicznych Żywe kolory ułatwiają utrzymanie porządku w układzie. Tradycyjnie: Czarny/niebieski uziemienie (GND) Czerwony/pomarańczowy/brązowy zasilanie (VCC)

Bardziej szczegółowo

Opis efektów kształcenia dla modułu zajęć

Opis efektów kształcenia dla modułu zajęć Nazwa modułu: Programowanie mikrokontroleroẃ i mikroprocesoroẃ Rok akademicki: 2017/2018 Kod: EIT-1-408-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek:

Bardziej szczegółowo

Rafał Staszewski Maciej Trzebiński, Dominik Derendarz

Rafał Staszewski Maciej Trzebiński, Dominik Derendarz R Staszewski Rafał Staszewski Maciej Trzebiński, Dominik Derendarz Henryk Niewodniczański Institute of Nuclear Physics Polish Academy of Sciences (IFJ PAN Cracow) Zagraj w Naukę 27 października 2014 1

Bardziej szczegółowo

Podstawowe urządzenia peryferyjne mikrokontrolera ATmega8 Spis treści

Podstawowe urządzenia peryferyjne mikrokontrolera ATmega8 Spis treści Podstawowe urządzenia peryferyjne mikrokontrolera ATmega8 Spis treści 1. Konfiguracja pinów2 2. ISP..2 3. I/O Ports..3 4. External Interrupts..4 5. Analog Comparator5 6. Analog-to-Digital Converter.6 7.

Bardziej szczegółowo

Pomoc do programu ISO Manager

Pomoc do programu ISO Manager Pomoc do programu ISO Manager Wersja 1.1 1 1. Nawiązanie połączenia detektora ISO-1 z aplikacją ISO Manager Należy pobrać program ISO Manager ze strony producenta www.ratmon.com/pobierz, zainstalować na

Bardziej szczegółowo

MOD Xmega explore z ATXmega256A3BU. sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl blog.modulowo.

MOD Xmega explore z ATXmega256A3BU. sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl blog.modulowo. MOD - 11 Xmega explore z ATXmega256A3BU Sklep firmowy: Kursy i instrukcje: Dokumentacje techniczne: Aplikacje i projekty: Aktualności: sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI IMMOBILIZERA TRANSPONDEROWEGO

INSTRUKCJA OBSŁUGI IMMOBILIZERA TRANSPONDEROWEGO INSTRUKCJA OBSŁUGI IMMOBILIZERA TRANSPONDEROWEGO SPIT - 805 Immobilizer transponderowy SPIT - 805 jest urządzeniem służącym do ochrony pojazdów samochodowych przed kradzieżą. Urządzenie blokuje jednocześnie

Bardziej szczegółowo

ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x. Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC213x

ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x. Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC213x ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x (rdzeń ARM7TMDI-S) Kompatybilny z zestawem MCB2130 firmy Keil! Zestaw ZL6ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się

Bardziej szczegółowo

Wstęp. Opis ATMEGA128 MINI MODUŁ VE-APS-1406

Wstęp. Opis ATMEGA128 MINI MODUŁ VE-APS-1406 ATMEGA128 MINI MODUŁ VE-APS-1406 Wstęp Instrukcja użytkownika Opis Instrukcja prezentuje mini moduł z mikrokontrolerem rodziny AVR (firmy ATMEL) Atmega128 w obudowie TQFP 64. Procesor ATmega128 wyposażony

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 Strona 1 Zawartość 1. Instalacja... 3 2. Instalacja sterowników w trybie HID.... 3 3. Programowanie

Bardziej szczegółowo