Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wielkość: px
Rozpocząć pokaz od strony:

Download "Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE"

Transkrypt

1 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C Ćwiczenie Nr 2 KOMPILACJA I SYMULACJA PROJEKTU W SYSTEMIE CAD Opracował: dr inż. Walenty Owieczko BIAŁYSTOK 2014

2 Spis treści instrukcji: 1. Cel ćwiczenia Informacje wstępne 2 3. Symulacja czasowa i funkcjonalna Etapy tworzenia wektorów testowych symulatora Symulator-analizator czasowy 7 6. Czynności przygotowawcze Przebieg ćwiczenia 8 8. Sprawozdanie i forma zaliczenia ćwiczenia Literatura CEL ĆWICZENIA Zapoznanie się z kolejnymi etapami realizacji projektu kompilacją i symulacją projektu, sposobem tworzenia wymuszeń do symulacji, symboli bibliotecznych własnych specjalizowanych funkcji oraz pracą symulatora-analizatora czasowego. 2. INFORMACJE WSTĘPNE Kompilacja projektu Kompilator (Compiler) - składa się z modułów i aplikacji umożliwiających: sprawdzenie poprawności, syntezę logiczną, generowanie zbiorów wejściowych do symulacji, analizy czasowej oraz fizycznego zaprogramowania układów. Program wykonuje się automatycznie z możliwością sterowania przebiegiem kompilacji. Wybór w menu jednej z opcji konfiguracyjnych kompilatora, np. Assign>Global Project Logic Synthesis umożliwia określenie szybkości pracy, wykorzystanie zasobów i in. Kontrolę poprawności projektu pod względem zgodności z zasobami i możliwościami funkcjonalnymi układu zapewnia narzędzie diagnostyczne Design Doctor: menu Processing>Design Doctor (włączenie/wyłączenie), zaś konfigurację Design Doctor Setting. Kompilator można uruchomić z poziomu menu głównego MAX+Plus II >Compiler przycisk Start, z paska narzędzi File>Project> Save&Compile lub ikoną na pasku zadań. 2

3 Rys. 1. Widok okna programu Compiler. W przypadku wykrycia błędów w opisie projektu, proces kompilacji zostaje przerwany i wyświetlany jest komunikat o liczbie błędów oraz opis każdego z nich w oknie informacyjnym. Pełna interpretacja określonego błędu jest możliwa poprzez wskazanie go w oknie informacyjnym, a następnie uruchomienie komentarza funkcją Help on Message. Edytor symboli (Symbol Editor) pomocniczy program graficzny do tworzenia i edytowania symboli bloków specjalizowanych funkcji użytkownika. Poprawnie skompilowany projekt lub jego fragment może stanowić element biblioteczny użytkownika, któremu przypisano symbol graficzny. Utworzenie symbolu graficznego wykonuje się poprzez uruchomienie z poziomu schematu funkcji: File > Create Default Symbol. Nowy symbol elementu jest dostępny w bieżącym katalogu projektu. Edycji symbolu dowolnego elementu można dokonać również z poziomu edytora symboli funkcją: File > New... > Symbol Editor file > OK. Uwaga! Symbole graficzne tworzone przez projektanta system domyślnie umieszcza w katalogu głównym C\\max2work\. 3. SYMULACJA CZASOWA I FUNKCJONALNA Symulacja czasowa rozpoczyna się od skompilowania projektu z uaktywnioną w menu Processing opcją Timing SNF Extractor. Kompilator tworzy zbiór typu timing SNF (Timing Simulator Netlist File) zawierający dane o czasach propagacji sygnałów. Symulator przeprowadza na tej podstawie pełną syntezę i optymalizację projektu, a następnie tworzy czasowy zbiór (.snf). Symulacja czasowa dotyczy całego projektu i pozwala wykryć błędy, wywołane zjawiskami czasowymi typu hazard, wyścigi itp. 3

4 Rys. 2. Widok okna kompilatora z uaktywniona opcją symulatora czasowego. Symulacja funkcjonalna kompilator tworzy zbiór typu functional SNF (Simulator Netlist File), na podstawie którego symulator przeprowadza syntezę logiczną projektu. Wyniki symulacji funkcjonalnej mogą wskazywać na prawidłowe (bądź nie prawidłowe) działanie układu. Czynnością poprzedzającą symulację (czasową, funkcjonalną lub kompleksową Linked Multi-Project Simulation) dla projektu wieloukładowego jest kompilacja projektu w celu utworzenia zbioru Simulator Netlist File (.snf) 4. ETAPY TWORZENIA WEKTORÓW TESTOWYCH SYMULATORA Przed uruchomieniem symulatora czasowego lub funkcjonalnego należy przygotować wektor wymuszeń czasowych. Etapy tworzenia wymuszeń: Uruchamiamy edytor przebiegów czasowych - menu File>New zaznaczając opcję Waveform Editor file lub z poziomu menu głównego MAX+Plus II > Waveform Editor. Wywołujemy okno dialogowe z nazwami sygnałów do wstawienia - lewym przyciskiem myszy wskazujemy miejsce wstawienia, zaś prawym wywołujemy menu kontekstowe z opcją Insert Node Dokonujemy wyboru przebiegów do symulacji - prawym przyciskiem myszy wywołujemy menu kontekstowe i opcją Insert Node wywołujemy okno dialogowe z nazwami sygnałów do wstawienia na planszę edytora przebiegów. 4

5 Inny sposób korzystając z opcji Enter Nodes from SNF w menu kontekstowym pliku *.snf wygenerowanego podczas kompilacji. Rys. 3. Menu edytora wymuszeń w trybie Enter Nodes from SNF. Po umieszczeniu wskaźnika myszy w polu listy portów (pod napisem Name:) z menu podręcznego należy wybrać funkcje: Insert Node... lub Enter Nodes from SNF... w celu wprowadzenia w pole listy portów symboli tych portów, które podlegają symulacji. W przypadku trybu Enter Nodes from SNF przy pomocy opcji List wprowadza się wszystkie nazwy portów w pole Available Nodes & Groups a następnie przy pomocy kluczy => i <= przenosi/kasuje wybrane elementy w obszar pola Selected Nodes & Groups, zatwierdzając wybraną listę OK. Uwaga! Otwarty w oknie edytora przebiegów plik o nazwie untitled.scf zapisujemy na pod nazwą identyczną z nazwą projektu (np.: l_nkb1.scf, rys. 4). Rys. 4. Widok okna edytora wymuszeń 5

6 Przed definiowaniem wymuszeń należy ustawić parametry czasowe symulacji: czas końcowy symulacji: File > End Time > wartoś_czasu > OK, krok symulacji (gęstość siatki): Options > Grid Size... > wartość kroku > OK. Rys. 4. Widok okna edytora wymuszeń oraz uruchomienia symulatora czasowego. Proces symulacji uruchamia się z managera poleceniem: MAX+plusII > Simulator > Start lub ikoną z górnego paska narzędzi. Po zakończeniu symulacji wyniki wyświetlane są funkcją Open SCF. Przykład okna edytora wymuszeń po wprowadzeniu portów przedstawiono na poniższym rysunku. Rys. 5. Okno edytora po wprowadzeniu symboli portów. 6

7 5. SYMULATOR-ANALIZATOR CZASOWY Timing analyzer (analizator czasowy) program, który w oparciu o modele czasowe układów obsługiwanych przez kompilator, określa czasy propagacji sygnałów na drodze pomiędzy wybranymi węzłami oraz wyznacza maksymalną częstotliwość taktowania. Simulator (symulator) program do testowania funkcji i zachowania się w czasie, przed zaprogramowaniem projektowanego układu w strukturze programowalnej. Symulator uruchamia się z managera: MAX+plusII > Timing Analyzer > Start lub ikoną na pasku zadań. Funkcje programu Timing Analyzer: Delay Matrix - przedstawia tablicę czasów opóźnień poszczególnych sygnałów w torach we - wy i opóźnienia między nimi (dla UK). Setup/Hold - przedstawia tablicę czasów ustalenia i podtrzymania sygnału w blokach z rejestrami, Registered Performance - określa maksymalną częstotliwość taktowania od wybranego wejścia. Okno wyniku symulacji opóźnień dla projektu l_nkb1 przedstawiono na rysunku 6. Rys. 6. Wyniki symulacji opóźnień projektu l_nkb1 W ćwiczeniu wykorzystuje się funkcje edytora przebiegów, kompilatora oraz symulatoraanalizatora czasowego do analizy pracy projektowanego układu. 7

8 6. CZYNNOŚCI PRZYGOTOWAWCZE Przed przystąpieniem do wykonania ćwiczenia, student powinien: - szczegółowo zapoznać się z instrukcją, - zapoznać się z wykorzystywanymi w ćwiczeniu aplikacjami systemu MAX+Plus II. - powtórzyć teorię układów logicznych, w szczególności metody opisu i realizacji układów jedno- i wielowyjściowych, - przedstawić rozwiązanie zadań podanych przez prowadzącego 7. PRZEBIEG ĆWICZENIA I. EDYCJA PROJEKTU Poleceniem MAX+Plus II>... Editor, które otwiera zbiór Untitled1 lub File>New okno z możliwością wyboru zbioru, np.:.gdf,.sch, uruchamia się wybrany edytor projektowy. Poleceniem File>Save zapisujemy edytowany plik na dysku, nie zmieniając jego domyślnej nazwy (identycznej z nazwą projektu, uzupełnioną o rozszerzenie). Ikoną na pasku zadań dokonujemy zmiany nazwy bieżącego projektu na nazwę edytowanego pliku (w przypadku, gdy są różne). Uwaga! Kompilowane są pliki położone najwyżej w hierarchii, nie zaś aktualnie edytowane. Tworzymy symbol graficzny (biblioteczny) odpowiadający opisowi tekstowemu polecenie File>Create Default Symbol. Edycja symbolu (np.: rozmieszczenie nazw i wyprowadzeń): menu File>Edit Symbol. Uwaga! 1. Podczas zapamiętywania układu po raz pierwszy <Save As> program automatycznie generuje symbol układu oraz zbiór włączalny. Operacja ta odpowiada instrukcjom: Create Default Symbol i Create Default Include File z menu File. W celu zamiany lub modyfikacji symbolu automatycznego korzystamy z edytora symboli. 2. Przed utworzeniem symbolu bibliotecznego zaleca się przeprowadzenie symulacji funkcjonalnej okno managera MAX+Plus II>Compiler przycisk Start, zaznaczając opcję Functional SNF Extractor w menu Processing. II. KOMPILACJA PROJEKTU Uruchomienie kompilatora menu MAX+Plus II>Compiler przycisk Start lub z paska narzędzi File>Project> Save&Compile. 8

9 Zaznaczając opcję Functional SNF Extractor w menu Processing uruchamiamy wstępną (funkcjonalną) kompilację, zaś opcją Timing SNF Extractor kompilację pełną, uwzględniającą opóźnienia czasowe (niezbędna przy implementacji projektu w układzie programowalnym). Przy tym generowane są pliki do symulacji czasowej, dla programatora oraz plik dokumentacyjny. Rozpoczęcie kompilacji włączenie przycisku Start w oknie kompilatora. Okno Message Compiler wyświetla komunikat o przebiegu kompilacji. III. ETAPY TWORZENIA WYMUSZEŃ DO SYMULACJI Uruchamiamy edytor przebiegów czasowych - okno managera MAX+Plus II> Waveform Editor lub menu File>New, opcja Waveform Editor file w oknie dialogowym, Ustalamy rozdzielczość edycji przebiegów w funkcji czasu Options>Grid Size oraz całkowity czas symulacji File>End Time, Dokonujemy wyboru sygnałów wymuszających, Rysujemy przebiegi sygnałów wymuszających, korzystając z zestawu specjalnych narzędzi funkcji wywołanych ikonami paska narzędziowego w lewej części okna lub z poziomu menu: opcje Edit>Overwrite. Otwarty w oknie edytora przebiegów plik o nazwie untitled.scf zapisujemy na dysku pod nazwą identyczną z nazwą projektu. 8. SPRAWOZDANIE I FORMA ZALICZENIA ĆWICZENIA Ocenie podlegają czynności zarówno etapu przygotowawczego jak i realizacyjnego. Warunkiem zaliczenia ćwiczenia jest poprawny przebieg procesu edycji, kompilacji oraz edycji wymuszeń do symulacji projektu. Ponadto wymagane jest przedstawienie protokołu oraz wykazanie się niezbędną wiedzą z zakresu wykonywanego ćwiczenia. Protokół powinien zawierać: temat i cel ćwiczenia, treść wykonywanych zadań projektowych, kolejne etapy syntezy, schematy projektowanych układów, wyniki badań symulacyjnych projektów, interpretację wyników i wnioski końcowe. 9. LITERATURA 1. L. Grodzki, W. Owieczko: Podstawy techniki cyfrowej, T. Łuba, B. Zbierzchowski: Komputerowe projektowanie układów cyfrowych, WKŁ P. Zbysiński, J. Pasierbiński: Układy programowalne pierwsze kroki. BTC, M. Barski, W. Jędruch: Układy cyfrowe- podstawy projektowania i opis w języku VHDL, Gdańsk

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika Białostocka Wydział Elektryczny atedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIA YFROWA 2 Z1A400 028 Ćwiczenie Nr 3 PRZERZUNII D, J i. REALIZAJA UŁADÓW

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 9 REALIZACJA

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika iałostocka Wydział Elektryczny Katedra utomatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIK YFOW 2 Z1400 028 Ćwiczenie Nr 5 LIZNIKI WÓKOWE I ZIESIĘNE Opracował:

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział lektryczny Katedra Automatyki i lektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALN STRUKTURY LOGICZN SC420 300 Ćwiczenie Nr 3 RALIZACJA UKŁADÓW

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWANIA

INSTRUKCJA UŻYTKOWANIA INSTRUKCJA UŻYTKOWANIA KOMPILATORA UKŁADÓW CYFROWYCH ACTIVE CAD Opis układów przy pomocy edytora schematów Opracował dr inż. Piotr Kawalec Warszawa, 2000 rok SPIS TREŚCI str. 1. WSTĘP... 3 2. TWORZENIE

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028 Ćwiczenie Nr 1 ZESTAW LABORATORYJNY SYSTEMU

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Cyfrowe Przetwarzanie Obrazów i Sygnałów

Cyfrowe Przetwarzanie Obrazów i Sygnałów Cyfrowe Przetwarzanie Obrazów i Sygnałów Laboratorium EX0 Wprowadzenie Joanna Ratajczak, Wrocław, 2018 1 Cel i zakres ćwiczenia Celem ćwiczenia jest zapoznanie się ze środowiskiem Matlab/Simulink wraz

Bardziej szczegółowo

Rozdział 4: PIERWSZE KROKI

Rozdział 4: PIERWSZE KROKI Rozdział 4: PIERWSZE KROKI 4. Pierwsze kroki 4.1. Uruchomienie programu Program najłatwiej uruchomić za pośrednictwem skrótu na pulpicie, choć równie dobrze możemy tego dokonać poprzez Menu Start systemu

Bardziej szczegółowo

Kolory elementów. Kolory elementów

Kolory elementów. Kolory elementów Wszystkie elementy na schematach i planach szaf są wyświetlane w kolorach. Kolory te są zawarte w samych elementach, ale w razie potrzeby można je zmienić za pomocą opcji opisanych poniżej, przy czym dotyczy

Bardziej szczegółowo

Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie.

Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie. Lokalizacja Informacje ogólne Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie. To pojęcie jest używane przez schematy szaf w celu tworzenia

Bardziej szczegółowo

Komputerowe systemy wspomagania projektowania układów cyfrowych

Komputerowe systemy wspomagania projektowania układów cyfrowych Komputerowe systemy wspomagania projektowania układów cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Z Mariusz Rawski 1 Rozwój technologii Z Logic ransistors per Chip 10000M

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1C400027 Temat ćwiczenia:

Bardziej szczegółowo

Rys.1. Uaktywnianie pasków narzędzi. żądanych pasków narzędziowych. a) Modelowanie części: (standardowo widoczny po prawej stronie Przeglądarki MDT)

Rys.1. Uaktywnianie pasków narzędzi. żądanych pasków narzędziowych. a) Modelowanie części: (standardowo widoczny po prawej stronie Przeglądarki MDT) Procesy i techniki produkcyjne Instytut Informatyki i Zarządzania Produkcją Wydział Mechaniczny Ćwiczenie 3 (1) Zasady budowy bibliotek parametrycznych Cel ćwiczenia: Celem tego zestawu ćwiczeń 3.1, 3.2

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Ćwiczenie Nr 12 PROJEKTOWANIE WYBRANYCH

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1A400027 Temat ćwiczenia:

Bardziej szczegółowo

Komputerowe systemy wspomagania projektowania układów cyfrowych

Komputerowe systemy wspomagania projektowania układów cyfrowych Komputerowe systemy wspomagania projektowania układów cyfrowych 1 Komputerowe projektowanie SPECYFIKACJA PROJEKTU KOMPIACJA WERYFIKACJA I PROGRAMOWANIE Edytor graficzny Symulator Edytor tekstowy Wykresy

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1C400027 Temat ćwiczenia:

Bardziej szczegółowo

Menu Plik w Edytorze symboli i Edytorze widoku aparatów

Menu Plik w Edytorze symboli i Edytorze widoku aparatów Menu Plik w Edytorze symboli i Edytorze widoku aparatów Informacje ogólne Symbol jest przedstawieniem graficznym aparatu na schemacie. Oto przykład przekaźnika: Widok aparatu jest przedstawieniem graficznym

Bardziej szczegółowo

OPROGRAMOWANIE DEFSIM2

OPROGRAMOWANIE DEFSIM2 Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych OPROGRAMOWANIE DEFSIM2 Instrukcja użytkownika mgr inż. Piotr Trochimiuk, mgr inż. Krzysztof Siwiec, prof. nzw. dr hab. inż. Witold Pleskacz

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego LABORATORIUM TECHNIKI CYFROWEJ Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego Opracowali: dr in. Krystyna Maria Noga mgr in. Rafał Sokół

Bardziej szczegółowo

1. SFC W PAKIECIE ISAGRAF 2. EDYCJA PROGRAMU W JĘZYKU SFC. ISaGRAF WERSJE 3.4 LUB 3.5 1

1. SFC W PAKIECIE ISAGRAF 2. EDYCJA PROGRAMU W JĘZYKU SFC. ISaGRAF WERSJE 3.4 LUB 3.5 1 ISaGRAF WERSJE 3.4 LUB 3.5 1 1. SFC W PAKIECIE ISAGRAF 1.1. Kroki W pakiecie ISaGRAF użytkownik nie ma możliwości definiowania własnych nazw dla kroków. Z każdym krokiem jest związany tzw. numer odniesienia

Bardziej szczegółowo

WYKONYWANIE ORAZ PRZYWRACANIE KOPII KONFIGURACJI ZA POMOCĄ INTERFEJSU 20-HIM-A6 / 20-HIM-C6S W PRZEMIENNIKACH SERII POWERFLEX 750

WYKONYWANIE ORAZ PRZYWRACANIE KOPII KONFIGURACJI ZA POMOCĄ INTERFEJSU 20-HIM-A6 / 20-HIM-C6S W PRZEMIENNIKACH SERII POWERFLEX 750 WYKONYWANIE ORAZ PRZYWRACANIE KOPII KONFIGURACJI ZA POMOCĄ INTERFEJSU 20-HIM-A6 / 20-HIM-C6S W PRZEMIENNIKACH SERII POWERFLEX 750 Pamięć interfejsu 20-HIM-A6 / 20-HIM-C6S może pomieścić do 3 plików konfiguracyjnych

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Opis szybkiego uruchomienia programu APBSoft

Opis szybkiego uruchomienia programu APBSoft Opis szybkiego uruchomienia programu APBSoft www.telmatik.pl Program APBSoft należy instalować z otrzymanej płyty CD albo pobrać ze strony www.telmatik.pl. W drugim przypadku program dostarczany jest w

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1A400027 Temat ćwiczenia:

Bardziej szczegółowo

INSTRUKCJA LABORATORYJNA

INSTRUKCJA LABORATORYJNA WAT - WYDZIAŁ ELEKTRONIKI INSTYTUT SYSTEMÓW ELEKTRONICZNYCH ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH Przedmiot: Konstrukcja Urządzeń Elektronicznych Ćwiczenie nr 1 INSTRUKCJA LABORATORYJNA Temat: RYSUNEK

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1C400027 Temat ćwiczenia:

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert)

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert) Procesy i techniki produkcyjne Wydział Mechaniczny Ćwiczenie 3 (2) CAD/CAM Zasady budowy bibliotek parametrycznych Cel ćwiczenia: Celem tego zestawu ćwiczeń 3.1, 3.2 jest opanowanie techniki budowy i wykorzystania

Bardziej szczegółowo

Programator Kart Master - klient

Programator Kart Master - klient Programator Kart Master - klient Kraków 2002.11.27 SPIS TREŚCI 1 WSTĘP... 2 2 ROZPOCZĘCIE PRACY Z PROGRAMEM... 3 3 ZMIANA KLUCZA DOSTĘPU.... 4 4 GENEROWANIE KART UŻYTKOWNIKÓW... 5 1 1 Wstęp Programator

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (2h) Wprowadzenie do oprogramowanie EDA wspomagającego syntezę układów cyfrowych (Quartus II) Instrukcja do

Bardziej szczegółowo

Wykład A1. AutoCAD Dr inż. Jarosław Bydłosz

Wykład A1. AutoCAD Dr inż. Jarosław Bydłosz Wykład A1 AutoCAD Dr inż. Jarosław Bydłosz 1 Tematyka zajęć Rysunek techniczny Elementy geometrii wykreślnej Pakiet CAD (AutoCAD 2008) 2 Prowadzący zajęcia Wykłady: Prof. Jadwiga Maciaszek (pok. 204) Ćwiczenia

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka 1. Kompilacja aplikacji konsolowych w środowisku programistycznym Microsoft Visual Basic. Odszukaj w menu startowym systemu

Bardziej szczegółowo

Ćw. 0: Wprowadzenie do programu MultiSIM

Ćw. 0: Wprowadzenie do programu MultiSIM Ćw. 0: Wprowadzenie do programu MultiSIM Wstęp Celem ćwiczenia jest zapoznanie się z programem MultiSIM przeznaczonym do analiz i symulacji działania układów elektronicznych. Zaznajamianie się z tym programem

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA (KSS)

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA (KSS) Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA (KSS) Temat: Platforma Systemowa Wonderware cz. 2 przemysłowa baza danych,

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a 14. 1.2 Ustawienia wprowadzające. Auto CAD 14 1-1. Aby uruchomić AutoCada 14 kliknij ikonę

1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a 14. 1.2 Ustawienia wprowadzające. Auto CAD 14 1-1. Aby uruchomić AutoCada 14 kliknij ikonę Auto CAD 14 1-1 1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a 14 Aby uruchomić AutoCada 14 kliknij ikonę AutoCAD-a 14 można uruchomić również z menu Start Start Programy Autodesk Mechanical 3 AutoCAD R14

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Wymagania oceniające dla klasy II 2018/2019

Wymagania oceniające dla klasy II 2018/2019 oceniające dla klasy II 2018/2019 Praca z komputerem Uczeń zna zasady bezpiecznej pracy z komputerem. Uczeń stosuje się do regulaminu szkolnej pracowni komputerowej. D - Podczas pracy przy komputerze wymaga

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt Wprowadzenie do programu Eagle Cel i zadania: Celem ćwiczenia jest zapoznanie studentów z programem Eagle (v. 7.7.0) wykorzystywanym do rysowania

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA Joanna Patrzyk Bartłomiej Patrzyk Katarzyna Rycerz jpatrzyk@quide.eu bpatrzyk@quide.eu kzajac@agh.edu.pl

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

NS-2. Krzysztof Rusek. 26 kwietnia 2010

NS-2. Krzysztof Rusek. 26 kwietnia 2010 NS-2 Krzysztof Rusek 26 kwietnia 2010 1 Opis ćwiczenia Symulator ns-2 jest potężnym narzędziem, szeroko stosowanym w telekomunikacji. Ćwiczenie ma na cele przedstawić podstawy symulatora oraz symulacji

Bardziej szczegółowo

WinUcz procedura uprzedniego wywozu

WinUcz procedura uprzedniego wywozu Spis treści: 1. Pliki oprogramowania... 2 2. Uruchomienie programu... 2 2.1. Utworzenie nowej bazy danych... 2 2.2. Podłączenie bazy SAD oraz bazy faktur... 3 3. Przygotowanie pozwoleń... 5 4. Przygotowanie

Bardziej szczegółowo

Kadry Optivum, Płace Optivum

Kadry Optivum, Płace Optivum Kadry Optivum, Płace Optivum Jak seryjnie przygotować wykazy absencji pracowników? W celu przygotowania pism zawierających wykazy nieobecności pracowników skorzystamy z mechanizmu Nowe wydruki seryjne.

Bardziej szczegółowo

- 1 - Rys.1 Okno symulatora

- 1 - Rys.1 Okno symulatora - 1 - REALIZER cz.3 ST-Simulator W części trzeciej kursu poznamy bardzo dobry program symulacyjny wchodzący w skład pakietu programowego Realizera, który ułatwia dokładne sprawdzenie programu przed zaprogramowaniem

Bardziej szczegółowo

OPERACJE NA PLIKACH I FOLDERACH

OPERACJE NA PLIKACH I FOLDERACH OPERACJE NA PLIKACH I FOLDERACH Czym są pliki i foldery? krótkie przypomnienie Wszelkie operacje można przedstawić w postaci cyfrowej. Do tego celu wykorzystywane są bity - ciągi zer i jedynek. Zapisany

Bardziej szczegółowo

Autorzy. Zespół SABUR Sp. Z o.o. Wydanie Data. Sierpień SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone

Autorzy. Zespół SABUR Sp. Z o.o. Wydanie Data. Sierpień SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone SMART RIO Autorzy Wydanie Data : : : Zespół SABUR Sp. Z o.o. 3.00 Sierpień 2013 2013 SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone Bez pisemnej zgody firmy SABUR Sp. Z o.o. niniejszy materiał ani w całości,

Bardziej szczegółowo

Ćwiczenie 0 : Wprowadzenie do cyfrowego przetwarzania sygnałów. wyświetla listę tematów pomocy. wyświetla okno pomocy (Help / Product Help)

Ćwiczenie 0 : Wprowadzenie do cyfrowego przetwarzania sygnałów. wyświetla listę tematów pomocy. wyświetla okno pomocy (Help / Product Help) Wybr ane za gadnienia elektr oniki współczesnej Ćwiczenie 0 : Wprowadzenie do cyfrowego przetwarzania sygnałów. 1 Cel ćwiczenia Pierwsze zajęcia laboratoryjne z zakresu przetwarzania sygnałów mają na celu

Bardziej szczegółowo

Zadanie1: Odszukaj w serwisie internetowym Wikipedii informacje na temat protokołu http.

Zadanie1: Odszukaj w serwisie internetowym Wikipedii informacje na temat protokołu http. T: Konfiguracja usługi HTTP w systemie Windows. Zadanie1: Odszukaj w serwisie internetowym Wikipedii informacje na temat protokołu http. HTTP (ang. Hypertext Transfer Protocol) protokół transferu plików

Bardziej szczegółowo

HELIOS pomoc społeczna

HELIOS pomoc społeczna Instrukcja przygotowania pliku wsadowego do zasilenia SEPI przy pomocy dodatkowej aplikacji HELSepi 1. Instalacja aplikacji Pobieramy plik instalacyjny HelSEPIsetup.exe ze strony internetowej www.ops.strefa.pl

Bardziej szczegółowo

BACKUP BAZ DANYCH FIREBIRD

BACKUP BAZ DANYCH FIREBIRD BACKUP BAZ DANYCH FIREBIRD SPIS TREŚCI Informacje ogólne... 2 Tworzenie projektu... 2 Krok 1: Informacje podstawowe... 2 Krok 2: Dane... 3 Backup bazy umieszczonej na serwerze... 3 Bezpośredni backup pliku

Bardziej szczegółowo

Obszar Logistyka/Zamówienia Publiczne

Obszar Logistyka/Zamówienia Publiczne Obszar Logistyka/Zamówienia Publiczne Plany Zamówień Publicznych EG_LOG Plany Zamówień Publicznych Instrukcja Użytkownika. Instrukcja użytkownika 2 Spis treści SPIS TREŚCI... 3 NAWIGACJA PO SYSTEMIE...

Bardziej szczegółowo

PRZEWODNIK PO ETRADER ROZDZIAŁ XII. ALERTY SPIS TREŚCI

PRZEWODNIK PO ETRADER ROZDZIAŁ XII. ALERTY SPIS TREŚCI PRZEWODNIK PO ETRADER ROZDZIAŁ XII. ALERTY SPIS TREŚCI 1. OPIS OKNA 3 2. OTWIERANIE OKNA 3 3. ZAWARTOŚĆ OKNA 4 3.1. WIDOK AKTYWNE ALERTY 4 3.2. WIDOK HISTORIA NOWO WYGENEROWANYCH ALERTÓW 4 3.3. DEFINIOWANIE

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1A400027 Temat ćwiczenia:

Bardziej szczegółowo

INSTYTUT SYSTEMÓW ELEKTRONICZNYCH WEL WAT ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH. Ćwiczenia nr 3: RYSUNEK ELEKTRYCZNY WSPOMAGANY KOMPUTEROWO

INSTYTUT SYSTEMÓW ELEKTRONICZNYCH WEL WAT ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH. Ćwiczenia nr 3: RYSUNEK ELEKTRYCZNY WSPOMAGANY KOMPUTEROWO INSTYTUT SYSTEMÓW ELEKTRONICZNYCH WEL WAT ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH Ćwiczenia nr 3: RYSUNEK ELEKTRYCZNY WSPOMAGANY KOMPUTEROWO A. Cel ćwiczenia: Celem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

Wstęp 7 Rozdział 1. OpenOffice.ux.pl Writer środowisko pracy 9

Wstęp 7 Rozdział 1. OpenOffice.ux.pl Writer środowisko pracy 9 Wstęp 7 Rozdział 1. OpenOffice.ux.pl Writer środowisko pracy 9 Uruchamianie edytora OpenOffice.ux.pl Writer 9 Dostosowywanie środowiska pracy 11 Menu Widok 14 Ustawienia dokumentu 16 Rozdział 2. OpenOffice

Bardziej szczegółowo

KOMPUTEROWE METODY SYMULACJI W ELEKTROTECHNICE I ELEKTRONICE. ZASADA DZIAŁANIA PROGRAMU MICRO-CAP

KOMPUTEROWE METODY SYMULACJI W ELEKTROTECHNICE I ELEKTRONICE. ZASADA DZIAŁANIA PROGRAMU MICRO-CAP KOMPUTEROWE METODY SYMULACJI W ELEKTROTECHNICE I ELEKTRONICE. ZASADA DZIAŁANIA PROGRAMU MICRO-CAP Wprowadzenie. Komputerowe programy symulacyjne dają możliwość badania układów elektronicznych bez potrzeby

Bardziej szczegółowo

Wymagania Uczeń zna zasady bezpiecznej pracy z komputerem. Uczeń stosuje się do regulaminu szkolnej pracowni komputerowej.

Wymagania Uczeń zna zasady bezpiecznej pracy z komputerem. Uczeń stosuje się do regulaminu szkolnej pracowni komputerowej. I. EDUKACJA WCZESNOSZKOLNA 6 Uczeń samodzielnie wykonuje wszystkie zadania na lekcji, zadania dodatkowe. Jego wiadomości i umiejętności wykraczają poza te, które zawarte są w programie nauczania zajęć

Bardziej szczegółowo

Wprowadzenie do środowiska Qt Creator

Wprowadzenie do środowiska Qt Creator 1.Instalacja środowiska Qt Creator Qt Creator jest wygodnym środowiskiem programistycznym przeznaczonym do tworzenia projektów, czyli aplikacji zarówno konsolowych, jak i okienkowych z wykorzystaniem biblioteki

Bardziej szczegółowo

1. Opis. 2. Wymagania sprzętowe:

1. Opis. 2. Wymagania sprzętowe: 1. Opis Aplikacja ARSOFT-WZ2 umożliwia konfigurację, wizualizację i rejestrację danych pomiarowych urządzeń produkcji APAR wyposażonych w interfejs komunikacyjny RS232/485 oraz protokół MODBUS-RTU. Aktualny

Bardziej szczegółowo

FAQ: 00000014/PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300

FAQ: 00000014/PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300 PoniŜszy dokument zawiera opis konfiguracji programu STEP7 dla sterowników SIMATIC S7 300/S7 400 w celu stworzenia komunikacji między dwoma stacjami S7 300 za pomocą sieci MPI i usługi komunikacyjnej Danych

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo

Wymagania Uczeń zna zasady bezpiecznej pracy z komputerem. Uczeń stosuje się do regulaminu szkolnej pracowni komputerowej.

Wymagania Uczeń zna zasady bezpiecznej pracy z komputerem. Uczeń stosuje się do regulaminu szkolnej pracowni komputerowej. I. Kryteria oceniania zajęć komputerowych w klasach edukacji wczesnoszkolnej. 6 Uczeń samodzielnie wykonuje wszystkie zadania na lekcji, zadania dodatkowe. Jego wiadomości i umiejętności wykraczają poza

Bardziej szczegółowo

Scalone układy programowalne FPGA.

Scalone układy programowalne FPGA. Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania

Bardziej szczegółowo

Diagnostyka pamięci RAM

Diagnostyka pamięci RAM Diagnostyka pamięci RAM 1 (Pobrane z slow7.pl) Uszkodzenie pamięci RAM jest jednym z najczęściej występujących problemów związanych z niestabilnym działaniem komputera. Efektem uszkodzenia kości RAM są

Bardziej szczegółowo

PIERWSZY PROGRAM W JĘZYKU FBD

PIERWSZY PROGRAM W JĘZYKU FBD CODESYS PIERWSZY PROGRAM 1 PIERWSZY PROGRAM W JĘZYKU FBD Materiał ten stanowi skróconą wersję opracowania omawiającego pracę w środowisku CoDeSys (plik CoDeSys na stronie przedmiotu). Poniżej przedstawiona

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Wer

Quartus. Rafał Walkowiak IIn PP Wer Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Układy VLSI Bramki 1.0

Układy VLSI Bramki 1.0 Spis treści: 1. Wstęp... 2 2. Opis edytora schematów... 2 2.1 Dodawanie bramek do schematu:... 3 2.2 Łączenie bramek... 3 2.3 Usuwanie bramek... 3 2.4 Usuwanie pojedynczych połączeń... 4 2.5 Dodawanie

Bardziej szczegółowo

etrader Pekao Podręcznik użytkownika Strumieniowanie Excel

etrader Pekao Podręcznik użytkownika Strumieniowanie Excel etrader Pekao Podręcznik użytkownika Strumieniowanie Excel Spis treści 1. Opis okna... 3 2. Otwieranie okna... 3 3. Zawartość okna... 4 3.1. Definiowanie listy instrumentów... 4 3.2. Modyfikacja lub usunięcie

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ ELEMETY ELEKTRONIKI LABORATORIUM Kierunek NAWIGACJA Specjalność Transport morski Semestr II Ćw. 1 Poznawanie i posługiwanie się programem Multisim 2001 Wersja

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Zarządzanie automatyczne oznaczeniami (symbole, numery połączeń, kable, zaciski...)

Zarządzanie automatyczne oznaczeniami (symbole, numery połączeń, kable, zaciski...) Typy rysunków Informacje ogólne Program SEE pozwala na: Zarządzanie projektami Zarządzanie środowiskiem (symbole, arkusze formatowe...) Zarządzanie schematami projektu (tworzenie, modyfikacja...) Zarządzanie

Bardziej szczegółowo