Scalone układy programowalne FPGA.

Wielkość: px
Rozpocząć pokaz od strony:

Download "Scalone układy programowalne FPGA."

Transkrypt

1 Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania Altera Quartus II służącego do testowania i tworzenia praktycznych konfiguracji dla rzeczywistych układów programowalnych FPGA. Do osiągnięcia tego celu wykorzystane będą trzy sposoby tworzenia konfiguracji FPGA: za pomocą pliku schematów za pomocą języka opisu sprzętu VHDL za pomocą języka opisu sprzętu SystemVerilog Poniższy przykład pokaże sposób tworzenia konfiguracji dla układu FPGA Altera FLEX EPF10K70RC240-4 znajdującego się w zestawie edukacyjnym Altera UP2 Kit przy pomocy oprogramowania Altera Quartus II ver. 9.0 Web Edition. Oprogramowanie Quartus II ver 9.0 Web Edition oraz instrukcja zestawu Altera UP2 Kit znajdują się na poniższych stronach: Wykonanie ćwiczenia Proponowany w ćwiczeniu zestaw edukacyjny UP2 firmy Altera wyposażony został w 240 nóżkowy układ EPF10k20 typu FPGA (należący do rodziny układów Flex10K) zbudowany z około 70 tysięcy standardowych bramek logicznych, zawierający 3744 elementów LE, z których każdy zawiera 9 wejściowych tablic LUT, programowalny przerzutnik flip-flop i dedykowaną ścieżkę sygnału służącą do realizacji funkcji przeniesienia lub kaskadowego łączenia bloków układu, oraz 9 elementów typu EAB, z których każdy posiada

2 2048 bitów pamięci mogącej służyć np. do budowy pamięci RAM, ROM lub kolejki FIFO. Położenie różnych elementów w zestawie Altera UP2 Kit zostało pokazane w sposób schematyczny na rysunku poniżej: PS/2 VGA Wyświetlacze Siedmiosegmentowe Przycisk 1 Przycisk 2 Przełączniki Oprócz układu FPGA, zestaw edukacyjny Altera UP2 Kit zawiera również m.in. możliwe do wykorzystania z układem FPGA: dwa wyświetlacze siedmiosegmentowe LED, dwa przyciski aktywne zerem logicznym, osiem przełączników, oscylator MHZ, złącze PS/2 oraz złącze VGA. Szczegóły znajdują się w instrukcji do zestawu edukacyjnego Altera UP2 Kit. Natomiast dodatkowe informacje na temat układów FPGA można znaleźć w literaturze umieszczonej na końcu tego konspektu. Budowa, symulacja, synteza i uruchomienie w rzeczywistym układzie FPGA prostego układu logicznego. Ćwiczenie to polega na zapoznaniu się z podstawowymi funkcjami środowiska Quartus-II firmy Altera, poprzez wykonanie krok po kroku punktów opisujących jeden z możliwych sposobów korzystania z programu - od rozpoczęcia projektu i

3 wprowadzenia schematu, poprzez sprawdzenie poprawności projektu za pomocą wbudowanych narzędzi symulacyjnych, aż do zaprogramowania rzeczywistego układu FPGA. Budowa układu. Zadanie polega na zaprojektowaniu struktury logicznej i zaprogramowaniu układu FPGA w taki sposób, aby układ realizował trzy niezależne funkcje: 'and', 'or' i 'xor' dwóch zmiennych: 'a' i 'b'. Dane wejściowe wprowadzane będą za pomocą przycisków: FLEX_PB1 i FLEX_PB2 (aktywnych zerem) i sygnalizowane za pomocą odpowiednich segmentów LED wyświetlaczy FLEX_DIGIT (również aktywnych zerem). Podobnie przy pomocy segmentów LED sygnalizowane będą również sygnały wyjściowe: 'and', 'or' oraz 'xor'. Schematycznie pokazano to na rysunku poniżej. VDD A 5V A VDD 2 G D D 4 5 GND GND 1 3 a b and or xor Aby wykonać to zadnie należy uruchomić program Quartus-II i za pomocą polecenia "File"-"New Project Wizard" wywołać kreator projektu, a następnie wybrać dla niego nazwę, żądany katalog roboczy oraz nazwę głównego pliku projektu. W szczególnym przypadku wszystkie wprowadzone nazwy mogą być identyczne. Następnie za pomocą przycisku "Next" należy przejść do okna wyboru układu programowalnego i wybrać jego odpowiedni model (w przypadku zestawu edukacyjnego UP2 firmy Altera będzie to np. układ EPF10K70RC240-4). Kreator projektu zakańczamy poleceniem "Finish".

4 Ponieważ w naszym projekcie nie wykorzystujemy wszystkich końcówek I/O układu EPF10K70 (a w zasadzie wykorzystujemy tylko niewielką ich ilość), dlatego musimy poinformować program Quartus II o tym co ma zrobić z niewykorzystywanymi końcówkami. W tym celu w oknie "Project Navigator" (znajdującym się u góry po lewej stronie ekranu) klikamy myszką na nazwę układu (w naszym przypadku na nazwę FLEX10K). Na ekranie powinno pojawić się okno "Setting" z wybraną kategorią "Device" i zaznaczonym układem EPF10K70RC Wówczas u góry klikamy na przycisk "Device & Pin Options...", a następnie na zakładkę "Unused Pins" i wybieramy w grupie "Reserved all unused pins" opcję "As inputs, tri-stated", poczym naciskamy przycisk "OK" w obydwóch oknach. Po tej operacji wszystkie niewykorzystane końcówki układu będą traktowane jako odłączone wejścia. Teraz możemy przystąpić do budowania właściwego projektu. Jak wspomniano na początku, konfigurację układu FPGA można tworzyć na wiele sposobów. W konspekcie tym omówione zostaną trzy podejścia: a) za pomocą pliku schematów b) za pomocą języka opisu sprzętu VHDL c) za pomocą języka opisu sprzętu SystemVerilog a) Plik Schematów Aby użyć pliku schematów, w kolejnym kroku, poleceniem "File"-"New" należy wywołać okno otwierające nowy plik projektowy urządzenia i wybrać typ pliku: "Block Diagram/Schematic File", a następne, w nowo wygenerowanym pliku, stworzyć schemat projektu. W tym celu należy wybrać z odpowiednich bibliotek po jednej bramce AND, OR i XOR, pięć bramek oraz dwie końcówki (PIN) wejściowe i trzy końcówki wyjściowe. Można to zrobić poprzez dwukrotne kliknięcie myszką w pustej części okna roboczego i rozwinięcie dostępnych bibliotek. Wewnątrz folderu o nazwie "primitives" znajdują się biblioteki o nazwach: "pin" i "logic" w których znajdziemy odpowiednie komponenty. Wejściom proszę nadać nazwy 'a' i 'b', a wyjściom odpowiednio 'y_and', 'y_or' i 'y_xor'. Następnie przy pomocy myszki dokonać niezbędnych połączeń, zgodnie ze schematem umieszczonym poniżej.

5 a b INPUT VCC INPUT VCC inst3 inst4 AND2 inst OR2 inst1 XOR inst2 inst5 inst6 inst7 y_and y_or y_xor Zastosowane na wejściach i wyjściach bramki są niezbędne do odwrócenia stanów logicznych ponieważ, jak już wcześniej zostało powiedziane, w zestawie edukacyjnym Altera UP2 Kit aktywnym stanem logicznym dla przełączników i segmentów wyświetlaczy LED jest stan logiczny zero. Po zbudowaniu schematu, przy pomocy przycisku "Start Compilation" (znajdującym się na pasku narzędzi) sprawdzamy poprawność wykonanego projektu dokonując jego kompilacji. Jeśli kompilacja przebiegła bez błędów, wówczas przechodzimy do etapu symulacji. Symulacja działania zbudowanego układu. Przy pomocy polecenia "File"-"New" otwieramy okno tworzenia nowego pliku i w zakładce: "Verificarion/Debugging Files" wybieramy opcję: "Vector Waveform File", w wyniku czego powstaje nowe oko służące do przeprowadzenia symulacji zbudowanego układu. Funkcją "Edit"-"End Time" ustawiamy całkowity czas symulacji na 100ms, zaś funkcją "Edit"-"Grid Size" podziałkę osi czasu zmieniamy na 10ms. Następnie klikając dwa razy na wolne pole w kolumnie "Name" wprowadzamy wszystkie nazwy wymaganych wejść i wyjść, czyli: a, b oraz y_and, y_or i y_xor. Wszystkie wejścia i wyjścia powinny być typu Binary. Dwa wejścia: a i b grupujemy przy pomocy myszki i opcji "group", nadając tej grupie nazwę "wej". Powiniśmy uzyskać następujący efekt:

6 Następnie ustawiamy wymuszenia dla badanego układu. Wykonujemy to prawym przyciskiem myszy, klikając na grupę sygnałów wejściowych "wej" i wybierając opcję: "Value"-"CountValue...". Następnie naciskamy "OK". Powinniśmy uzyskać następujące przebiegi czasowe na wejściach a i b: Tak przygotowaną symulację przeprowadzamy naciskając znajdujący się na pasku narzędzi przycisk "Start Simulation", a następnie analizujemy uzyskane przebiegi czasowe i sprawdzamy prawidłowe działanie układu. Jednakże, aby projekt mógł być przesłany do układu znajdującego się na podłączonym do komputera zestawie edukacyjnym UP2, musimy jeszcze powiązać końcówki wej/wyj naszego schematu z rzeczywistymi końcówkami układu programowalnego EPF10K70 i to z tymi końcówkami, które w zestawie edukacyjnym Altera UP2 Kit zostały dołączone na stałe do określonych przełączników i segmentów wyświetlaczy LED. Do realizacji ćwiczenia proszę wybrać te elementy zestawu Altera UP2 Kit, które zostały zaznaczone na rysunku poniżej.

7 Wejściowy segment LED dla przycisku_1 Wyjściowy segment LED dla "and" Wyjściowy segment LED dla "or" Wejściowy segment LED dla przycisku_2 Wyjściowy segment LED dla "xor" Przycisk _1 ( FLEX_PB1 ) Przycisk _2 ( FLEX_PB2 ) Wejście "a" należy skojarzyć z tą końcówką, która jest połączona z przyciskiem_1 i segmentem "A" lewego wyświetlacza FLEX_DIGIT, a wejście "b" z końcówkami dołączonymi do przycisku_2 i segmentu "D" lewego wyświetlacza FLEX_DIGIT. Wyjścia "and", "or" i "xor" natomiast kojarzymy z końcówkami dołączonymi do kolejnych poziomych segmentów LED prawego wyświetlacza z FLEX_DIGIT, odpowiednio do segmentu: "A", "G" i "D". Szczegóły połączeń elementów wej/wyj (przycisków i segmentów LED) z układem programowalnym w zestawie UP2 można znaleźć w stosownej dokumentacji. Przykładowo, aby podłączyć wejście "a" do przycisku FLEX_PB1, należy skojarzyć to wejście z nóżką układu EPF10K70 o numerze 28. Na schemacie naszego układu, w miejscu gdzie znajduje się element wejściowy "a", klikamy prawym przyciskiem myszy i wybieramy funkcje: "Locate"-"Locate in Pin Planner". Po otworzeniu się okna, u dołu powinny wyświetlić się wiersze z wszystkimi sygnałami (wejściami i wyjściami). Odnajdujemy sygnał "a" i w jego wierszu w kolumnie "Location" wpisujemy lub wybieramy odpowiednią końcówkę układu (dla zestawu UP2 właściwą końcówką będzie PIN_28). Następnie zamykamy okno. Poprawnie zmodyfikowany schemat powinien wyglądać tak jak poniżej.

8 in1 a b PIN_28 INPUT VCC INPUT VCC inst3 inst4 AND2 inst OR2 inst1 XOR inst2 inst5 inst6 inst7 y_and y_or y_xor in2 Uwaga: Aby móc dołączyć segmenty wyświetlaczy LED do przycisków wejściowych, do schematu dodano dwie dodatkowe końcówki wyjściowe OTPUT o nazwach: "in1" i 'in2". Podobnie jak z sygnałem 'a', postępujemy z sygnałami 'b', 'in1', 'in2', 'and', 'or' i 'xor', przypisując im odpowiednie końcówki układu EPF10K70. Możemy zrobić to jednocześnie dla wszystkich pozostałych sygnałów. Po właściwym podłączeniu wszystkich wymaganych sygnałów należy ponownie skompilować projekt i jeśli nie będzie błędów przystąpić do opisanego poniżej programowania układu FPGA, a następnie w praktyce przetestować stworzony projekt. Programowanie układu FPGA. Po poprawnym skompilowaniu projektu przystępujemy do zaprogramowania układu FPGA w zestawie edukacyjnym Altera UP2 Kit. W tym celu należy bezpośrednio po skompilowaniu kliknąć na pasku narzędzi na ikonę "Programmer". Na ekranie pojawi się nowe okno z wybranym już plikiem wynikowym o rozszerzeniu ".sof" i z właściwie wybranym układem FPGA. U góry po prawej stronie znajdują się małe kwadraty umożliwiające wybranie odpowiedniej opcji programatora. W celu zaprogramowania układu należy zaznaczyć opcję "Program/Configure", a następnie wcisnąć przycisk "Start" znajdujący się u góry po lewej stronie. Po zaprogramowaniu można praktycznie sprawdzić poprawność działania wszystkich funkcji projektu korzystając z przycisków zestawu Altera UP2 Kit.

9 b) Język VHDL Aby zamiast schematu użyć języka opisu sprzętu VHDL, po utworzeniu projektu głównego należy poleceniem "File"-"New" wywołać okno otwierające nowy plik projektowy urządzenia i wybrać typ pliku: "VHDL File". Następne, w nowo wygenerowanym pliku, zapisać opis projektu w języku VHDL (w tym miejscu niezaznajomiony czytelnik powinien się zapoznać z podstawami języka VHDL, korzystając np. z dołączonej na końcu tego konspektu bibliografii). Opis rozważanego w tym konspekcie projektu w języku VHDL może wyglądać następująco: Blok używanych bibliotek Blok interfejsu oraz sygnałów wejściowych i wyjściowych Podpięcie sygnałów wejściowych do segmentów wyświetlacza FLEX DIGIT Podpięcie wyliczonych wartości logicznych do segmentów wyświetlacza FLEX DIGIT Po wprowadzeniu powyższego kodu i prawidłowym skompilowaniu projektu, należy podobnie jak poprzednio przypisać nazwy wyprowadzeń układu FPGA do wszystkich używanych w projekcie sygnałów. Można tego dokonać przy pomocy okna: "Pin Planner". Okno można wywołać myszką za pomocą funkcji: "Assignments" "Pin Planner". Po wykonaniu tej czynności należy projekt skompilować ponownie. Wówczas powstanie prawidłowy plik konfiguracyjny z

10 rozszerzeniem "sof". Teraz, zgodnie z opisem wcześniejszym, mnożna przystąpić do skonfigurowania układu FPGA w zestawie Altera UP2 Kit. c) Język SystemVerilog Aby użyć języka opisu sprzętu SystemVerilog, po utworzeniu projektu głównego należy poleceniem "File"-"New" wywołać okno otwierające nowy plik projektowy urządzenia i wybrać typ pliku: "SystemVerilog HDL File". Następne, w nowo wygenerowanym pliku, zapisać opis projektu w języku SystemVerilog (w tym miejscu niezaznajomiony czytelnik powinien się zapoznać z podstawami języka SystemVerilog, korzystając np. z dołączonej na końcu tego konspektu bibliografii). Opis rozważanego w tym konspekcie projektu w języku VHDL może wyglądać następująco: Blok interfejsu oraz sygnałów wejściowych i wyjściowych Podpięcie sygnałów wejściowych do segmentów wyświetlacza FLEX DIGIT Podpięcie wyliczonych wartości logicznych do segmentów wyświetlacza FLEX DIGIT Podobnie jak poprzednio, po wprowadzeniu powyższego kodu i prawidłowym skompilowaniu projektu, należy przypisać nawy wyprowadzeń układu FPGA do wszystkich używanych w projekcie sygnałów, a następnie dokonać ponownej

11 kompilacji projektu. Wówczas powstanie prawidłowy plik konfiguracyjny z rozszerzeniem "sof". Teraz, zgodnie z opisem wcześniejszym, mnożna przystąpić do skonfigurowania układu FPGA w zestawie Altera UP2 Kit i sprawdzenia działania projektu. 3. Literatura [1] Łuba T., Markowski M. A., Zbierzchowski B.: "Komputerowe projektowanie układów cyfrowych w strukturach PLD", WKiŁ, Warszawa [2] Majewski Wł., Łuba T., Jasiński K., Zbierzchowski B. "Programowalne moduły logiczne w syntezie układów cyfrowych", WKiŁ, Warszawa [3] VHDL - [4] VHDL - [5] VHDL - [6] SystemVerilog - [7] SystemVerilog -

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Arkadiusz Pantoł MATERIAŁY POMOCNICZE DO KURSU TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Obsługa środowiska Quartus Prime może byd kłopotliwa, jeżeli chodzi o stworzenie samego projektu.

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie Laboratorium Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie programowalnym FPGA. 1. Zasada działania algorytmów Algorytm Vernam a wykorzystuje funkcję

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu MMfpga01 MMfpga11 Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu 1 Spis treści 1. Instalacja aplikacji QUARTUS II Web Edition...3 2. Instalacja programu QUARTUS II Web

Bardziej szczegółowo

Podstawy programowania w środowisku Totally Integration Automation Portal

Podstawy programowania w środowisku Totally Integration Automation Portal GRUPA MT Temat i Autor Podstawy programowania w środowisku Totally Integration Automation Portal Krzysztof Bodzek, Arkadiusz Domoracki, Grzegorz Jarek CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Instrukcja. importu dokumentów. z programu Fakt do programu Płatnik. oraz. przesyłania danych do ZUS. przy pomocy programu Płatnik

Instrukcja. importu dokumentów. z programu Fakt do programu Płatnik. oraz. przesyłania danych do ZUS. przy pomocy programu Płatnik Fakt Dystrybucja, Instrukcja z dnia 06.2010 Instrukcja importu dokumentów z programu Fakt do programu Płatnik oraz przesyłania danych do ZUS przy pomocy programu Płatnik 1/22 1 Eksport danych z Programu

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

DEMERO Automation Systems

DEMERO Automation Systems Programowanie wektorowych przetwornic częstotliwości serii POSIDRIVE FDS5000 / MDS5000 i serwonapędów POSIDRIVE MDS5000 / POSIDYN SDS5000 firmy Stober Antriebstechnik Konfiguracja parametrów w programie

Bardziej szczegółowo

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert)

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert) Procesy i techniki produkcyjne Wydział Mechaniczny Ćwiczenie 3 (2) CAD/CAM Zasady budowy bibliotek parametrycznych Cel ćwiczenia: Celem tego zestawu ćwiczeń 3.1, 3.2 jest opanowanie techniki budowy i wykorzystania

Bardziej szczegółowo

Projekt Komputerowych Systemów Sterowania Wymiana danych pomiędzy dwoma sterownikami Siemens S7-300 po sieci Profibus DP

Projekt Komputerowych Systemów Sterowania Wymiana danych pomiędzy dwoma sterownikami Siemens S7-300 po sieci Profibus DP Gliwice, 7 stycznia 2007-01-07 Projekt Komputerowych Systemów Sterowania Wymiana danych pomiędzy dwoma sterownikami Siemens S7-300 po sieci Profibus DP Janusz Serwin KSS, sem. 9 Informacje ogólne Profibus

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Laboratorium - Udostępnianie folderu, tworzenie grupy domowej i mapowanie dysku sieciowego w Windows 7

Laboratorium - Udostępnianie folderu, tworzenie grupy domowej i mapowanie dysku sieciowego w Windows 7 5.0 6.8.4.7 Laboratorium - Udostępnianie folderu, tworzenie grupy domowej i mapowanie dysku sieciowego w Windows 7 Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium utworzysz i udostępnisz

Bardziej szczegółowo

NWD-210N Bezprzewodowy adapter USB 802.11n

NWD-210N Bezprzewodowy adapter USB 802.11n NWD-210N Bezprzewodowy adapter USB 802.11n Skrócona instrukcja obsługi Wersja 1.00 11/2007 Edycja 1 Copyright 2006. Wszelkie prawa zastrzeżone. Przegląd NWD210N to adapter sieciowy USB do komputerów osobistych.

Bardziej szczegółowo

Instalacja i opis podstawowych funkcji programu Dev-C++

Instalacja i opis podstawowych funkcji programu Dev-C++ Instalacja i opis podstawowych funkcji programu Dev-C++ Przed rozpoczęciem programowania musimy zainstalować i przygotować kompilator. Spośród wielu dostępnych kompilatorów polecam aplikację Dev-C++, ze

Bardziej szczegółowo

Opis szybkiego uruchomienia programu APBSoft

Opis szybkiego uruchomienia programu APBSoft Opis szybkiego uruchomienia programu APBSoft www.telmatik.pl Program APBSoft należy instalować z otrzymanej płyty CD albo pobrać ze strony www.telmatik.pl. W drugim przypadku program dostarczany jest w

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium komputerowych systemów pomiarowych Ćwiczenie 8 Wykorzystanie modułów FieldPoint w komputerowych systemach pomiarowych 1. Wprowadzenie

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Estomed2. 1. Wstęp. 2. Instalacja Systemu Estomed2. 2.1. Jak zainstalować Estomed2. Hakon Software sp. z o. o. Podręcznik instalacji

Estomed2. 1. Wstęp. 2. Instalacja Systemu Estomed2. 2.1. Jak zainstalować Estomed2. Hakon Software sp. z o. o. Podręcznik instalacji Hakon Software sp. z o. o. Estomed2 Podręcznik instalacji 1. Wstęp Na wstępie dziękujemy za zakup systemu Estomed. Chcielibyśmy, żeby wiązał się on z uczestnictwem w tworzeniu i rozwoju naszego oprogramowania.

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Instalacja i konfiguracja IIS-a na potrzeby dostępu WEBowego/Secure

Instalacja i konfiguracja IIS-a na potrzeby dostępu WEBowego/Secure Instalacja i konfiguracja IIS-a na potrzeby dostępu WEBowego/Secure Viewer-a do aplikacji Wonderware InTouch Machine Edition Informator Techniczny Wonderware nr 164 27.06.2017 r. INSTALACJA MICROSOFT INTERNET

Bardziej szczegółowo

Modelowanie obiektowe - Ćw. 1.

Modelowanie obiektowe - Ćw. 1. 1 Modelowanie obiektowe - Ćw. 1. Treść zajęć: Zapoznanie z podstawowymi funkcjami programu Enterprise Architect (tworzenie nowego projektu, korzystanie z podstawowych narzędzi programu itp.). Enterprise

Bardziej szczegółowo

Internet bezprzewodowy

Internet bezprzewodowy Internet bezprzewodowy Konfiguracja karty sieciowej Przedstawiamy Państwu poniżej krok po kroku konfigurację bezprzewodowej karty sieciowej D-Link DWL-520+, którą najczęściej wykorzystuje się do odbioru

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Instrukcja importu dokumentów z programu Fakt do programu Płatnik 5.01.001

Instrukcja importu dokumentów z programu Fakt do programu Płatnik 5.01.001 1 Instrukcja importu dokumentów z programu Fakt do programu Płatnik 5.01.001 I. EKSPORT DANYCH Z PROGRAMU FAKT DO PŁATNIKA...2 I.1. WYSYŁANIE DEKLARACJI Z PROGRAMU FAKT....2 I.2. KATALOGI I ŚCIEŻKI DOSTĘPU....2

Bardziej szczegółowo

Rozdział 4: PIERWSZE KROKI

Rozdział 4: PIERWSZE KROKI Rozdział 4: PIERWSZE KROKI 4. Pierwsze kroki 4.1. Uruchomienie programu Program najłatwiej uruchomić za pośrednictwem skrótu na pulpicie, choć równie dobrze możemy tego dokonać poprzez Menu Start systemu

Bardziej szczegółowo

Stosowanie, tworzenie i modyfikowanie stylów.

Stosowanie, tworzenie i modyfikowanie stylów. Stosowanie, tworzenie i modyfikowanie stylów. We wstążce Narzędzia główne umieszczone są style, dzięki którym w prosty sposób możemy zmieniać tekst i hurtowo modyfikować. Klikając kwadrat ze strzałką w

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

INSTALACJA DOSTĘPU DO INTERNETU

INSTALACJA DOSTĘPU DO INTERNETU INSTALACJA DOSTĘPU DO INTERNETU Za pomocą protokołu PPPoE UWAGA: Niniejsza instrukcja dotyczy tylko przypadków połączeń kablowych oraz radiowych BEZ użycia routera domowego. W przypadku posiadania routera

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

CoDeSys 3 programowanie w języku drabinkowym LD

CoDeSys 3 programowanie w języku drabinkowym LD Notatka Aplikacyjna NA 03004PL Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Tworzenie projektu i dodawanie programu w LD... 3 3. Organizacja okien dla języka LD... 5 4. Składnia języka LD

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Instrukcja instalacji certyfikatu kwalifikowanego w programie Płatnik. wersja 1.8

Instrukcja instalacji certyfikatu kwalifikowanego w programie Płatnik. wersja 1.8 Instrukcja instalacji certyfikatu kwalifikowanego w programie Płatnik wersja 1.8 Spis treści 1. INSTALACJA CERTYFIKATU Z KARTY KRYPTOGRAFICZNEJ W MAGAZYNIE SYSTEMOWYM... 3 Wczytanie danych z karty CryptoCERTUM...

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Satel Integra FIBARO

Satel Integra FIBARO Konfiguracja systemu alarmowego Satel Integra do współpracy z systemem FIBARO Poznań, 15 maja 2015r. 1 FIBARO Home Center 2 umożliwia integrację z systemem alarmowym Satel. Jest to realizowane na poziomie

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Platforma szkoleniowa krok po kroku. Poradnik Kursanta

Platforma szkoleniowa krok po kroku. Poradnik Kursanta - 1 - Platforma szkoleniowa krok po kroku Poradnik Kursanta PORA - 2 - Jeśli masz problemy z uruchomieniem Platformy szkoleniowej warto sprawdzić poprawność poniższych konfiguracji: - 3 - SPRZĘT Procesor

Bardziej szczegółowo

Aplikacja do podpisu cyfrowego npodpis

Aplikacja do podpisu cyfrowego npodpis ABS Bank Spółdzielczy Aplikacja do podpisu cyfrowego npodpis (instrukcja użytkownika) Wersja 1.0 http://www.absbank.pl 1. Aplikacja do podpisu cyfrowego - npodpis Słownik pojęć: Aplikacja do podpisu cyfrowego

Bardziej szczegółowo

Tworzenie pliku źródłowego w aplikacji POLTAX2B.

Tworzenie pliku źródłowego w aplikacji POLTAX2B. Tworzenie pliku źródłowego w aplikacji POLTAX2B. Po utworzeniu spis przekazów pocztowych klikamy na ikonę na dole okna, przypominającą teczkę. Następnie w oknie Export wybieramy format dokumentu o nazwie

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

Sekretariat Optivum. Jak przygotować listę uczniów zawierającą tylko wybrane dane, np. adresy e-mail ucznia i jego opiekunów? Projektowanie listy

Sekretariat Optivum. Jak przygotować listę uczniów zawierającą tylko wybrane dane, np. adresy e-mail ucznia i jego opiekunów? Projektowanie listy Sekretariat Optivum Jak przygotować listę uczniów zawierającą tylko wybrane dane, np. adresy e-mail ucznia i jego opiekunów? Program Sekretariat Optivum ma wbudowane różne edytory, które umożliwiają przygotowywanie

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Zadanie 1. Stosowanie stylów

Zadanie 1. Stosowanie stylów Zadanie 1. Stosowanie stylów Styl to zestaw elementów formatowania określających wygląd: tekstu atrybuty czcionki (tzw. styl znaku), akapitów np. wyrównanie tekstu, odstępy między wierszami, wcięcia, a

Bardziej szczegółowo

Problemy techniczne SQL Server

Problemy techniczne SQL Server Problemy techniczne SQL Server Jak utworzyć i odtworzyć kopię zapasową bazy danych za pomocą narzędzi serwera SQL? Tworzenie i odtwarzanie kopii zapasowych baz danych programów Kadry Optivum, Płace Optivum,

Bardziej szczegółowo

Instrukcja dotycząca konwersji dokumentów LaTeX do plików w formacie RTF

Instrukcja dotycząca konwersji dokumentów LaTeX do plików w formacie RTF Instrukcja dotycząca konwersji dokumentów LaTeX do plików w formacie RTF 1. Wstęp Treści zawarte w tym dokumencie mogą być przydatne w przypadku konieczności dokonania konwersji dokumentów tworzonych z

Bardziej szczegółowo

Pierwsze kroki z FPGA (2)

Pierwsze kroki z FPGA (2) Pierwsze kroki z FPGA (2) Szkoła MAXimatora pierwszy projekt z edytorem schematów Projekt w FPGA 0000 D[3..0] Zerowanie (RES) LD Zmiana kierunku zliczania góra/dół U/DN Sygnał taktujący 10MHz CLK TTL74169

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Zdalny podgląd wizualizacji z panelu XV100 przez przeglądarkę internetową (WebServer)

Zdalny podgląd wizualizacji z panelu XV100 przez przeglądarkę internetową (WebServer) www.eaton.com www.moeller.pl Zdalny podgląd wizualizacji z panelu XV100 przez przeglądarkę internetową (WebServer) Notatka aplikacyjna NA152PL Spis treści 1. Wprowadzenie... 3 2. Wymagane oprogramowanie...

Bardziej szczegółowo

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia nowego projektu (poniżej są utworzone projekty) Po kliknięciu

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Wer

Quartus. Rafał Walkowiak IIn PP Wer Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

Makropolecenia w PowerPoint Spis treści

Makropolecenia w PowerPoint Spis treści Makropolecenia w PowerPoint Spis treści Co to jest makro?... 2 Tworzenie prostego makropolecenia w PowerPoint... 2 Przypisywanie makropoleceń do wstążki.... 5 Zapisywanie prezentacji z makrem.... 7 ZADANIE...

Bardziej szczegółowo

Spis treści. FAQ: /PL Data: 30/06/2015. Instalacja polskiej wersji LOGO! Soft Comfort. 1 Pobranie pliku 2

Spis treści. FAQ: /PL Data: 30/06/2015. Instalacja polskiej wersji LOGO! Soft Comfort. 1 Pobranie pliku 2 Spis treści 1 Pobranie pliku 2 2 Konfiguracja ustawień w LOGO! Soft Comfort..... 5 Doradztwo techniczne: simatic.pl@siemens.com 1 1 Pobranie pliku Instalację aktualizacji należy rozpocząć od ściągnięcia

Bardziej szczegółowo

Materiały dodatkowe. Konfiguracja sterownika programowalnego Siemens do obsługi protokołu MODBUS. Opracowali: mgr inż.

Materiały dodatkowe. Konfiguracja sterownika programowalnego Siemens do obsługi protokołu MODBUS. Opracowali: mgr inż. Katedra Inżynierii Systemów Sterowania Materiały dodatkowe Konfiguracja sterownika programowalnego Siemens do obsługi protokołu MODBUS Opracowali: mgr inż. Tomasz Karla Data: Luty, 2017 r. Dodatkowe informacje

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 9 REALIZACJA

Bardziej szczegółowo

SimplySign logowanie i rejestracja na komputerze oraz dodanie certyfikatu do programu Płatnik

SimplySign logowanie i rejestracja na komputerze oraz dodanie certyfikatu do programu Płatnik SimplySign logowanie i rejestracja na komputerze oraz dodanie certyfikatu do programu Płatnik 1. INSTALACJA CERTYFIKATU SIMPLY SIGN W MAGAZYNIE SYSTEMOWYM. W celu użytkowania certyfikatu SimplySign na

Bardziej szczegółowo

Instalacja sieciowa Autodesk AutoCAD oraz wertykali

Instalacja sieciowa Autodesk AutoCAD oraz wertykali Instalacja sieciowa Autodesk AutoCAD oraz wertykali Łukasz Kuras Licencja sieciowa w przypadku produktów Autodesk rozdzielana jest za pomocą odpowiedniego oprogramowania zwanego Menedżerem licencji sieciowej.

Bardziej szczegółowo

Scenariusz lekcji. Scenariusz lekcji 1 TEMAT LEKCJI: 2 CELE LEKCJI: 2.1 Wiadomości: 2.2 Umiejętności: 3 METODY NAUCZANIA: 4 ŚRODKI DYDAKTYCZNE:

Scenariusz lekcji. Scenariusz lekcji 1 TEMAT LEKCJI: 2 CELE LEKCJI: 2.1 Wiadomości: 2.2 Umiejętności: 3 METODY NAUCZANIA: 4 ŚRODKI DYDAKTYCZNE: Praca z projektemi w MS VB.NET Scenariusz lekcji Scenariusz lekcji 1 TEMAT LEKCJI: Praca z projektami w MS VB.NET. 2 CELE LEKCJI: 2.1 Wiadomości: Uczeń potrafi: podać definicje podstawowych pojęć związanych

Bardziej szczegółowo

e-podręcznik dla seniora... i nie tylko.

e-podręcznik dla seniora... i nie tylko. Pliki i foldery Czym są pliki? Plik to w komputerowej terminologii pewien zbiór danych. W zależności od TYPU pliku może to być: obraz (np. zdjęcie z imienin, rysunek) tekst (np. opowiadanie) dźwięk (np.

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Celem ćwiczenia jest zapoznanie się z podstawowymi funkcjami i pojęciami związanymi ze środowiskiem AutoCAD 2012 w polskiej wersji językowej.

Celem ćwiczenia jest zapoznanie się z podstawowymi funkcjami i pojęciami związanymi ze środowiskiem AutoCAD 2012 w polskiej wersji językowej. W przygotowaniu ćwiczeń wykorzystano m.in. następujące materiały: 1. Program AutoCAD 2012. 2. Graf J.: AutoCAD 14PL Ćwiczenia. Mikom 1998. 3. Kłosowski P., Grabowska A.: Obsługa programu AutoCAD 14 i 2000.

Bardziej szczegółowo

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows XP

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows XP Instrukcja ręcznej konfiguracji połączenia z Internetem przez UWAGA modem ED77 w systemie Windows XP wersja 1.0 Niniejsza instrukcja nie opisuje sposobu i przebiegu instalacji sterowników urządzenia. W

Bardziej szczegółowo

podstawowa obsługa panelu administracyjnego

podstawowa obsługa panelu administracyjnego podstawowa obsługa panelu administracyjnego Poniższy dokument opisuje podstawowe czynności i operacje jakie należy wykonać, aby poprawnie zalogować się i administrować środowiskiem maszyn wirtualnych usługi

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

Instrukcja instalacji oprogramowania SimPlant Planner v.11

Instrukcja instalacji oprogramowania SimPlant Planner v.11 Instrukcja instalacji oprogramowania SimPlant Planner v.11 SimPlant - Pierwszy na świecie interaktywny (oparty na obrazie trójwymiarowym) System Planowania Leczenia Implantologicznego 3D mający na celu

Bardziej szczegółowo

FAQ: 00000014/PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300

FAQ: 00000014/PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300 PoniŜszy dokument zawiera opis konfiguracji programu STEP7 dla sterowników SIMATIC S7 300/S7 400 w celu stworzenia komunikacji między dwoma stacjami S7 300 za pomocą sieci MPI i usługi komunikacyjnej Danych

Bardziej szczegółowo

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka 1. Kompilacja aplikacji konsolowych w środowisku programistycznym Microsoft Visual Basic. Odszukaj w menu startowym systemu

Bardziej szczegółowo

Ćwiczenie SIB-C2. System automatyki budynkowej standardu KNX - funkcje podstawowe wej/wyj, funkcje czasowe, załączanie/wyłączanie, topologia sieci

Ćwiczenie SIB-C2. System automatyki budynkowej standardu KNX - funkcje podstawowe wej/wyj, funkcje czasowe, załączanie/wyłączanie, topologia sieci Ćwiczenie SIB-C2. System automatyki budynkowej standardu KNX - funkcje podstawowe wej/wyj, funkcje czasowe, załączanie/wyłączanie, topologia SYSTEMY INTELIGENTNYCH BUDYNKÓW KATEDRA ENERGOELEKTRONIKI I

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

Instrukcja użytkowania

Instrukcja użytkowania ASPEL S.A. PL 32-080 Zabierzów, os. H. Sienkiewicza 33 tel. +48 12 285 22 22, fax +48 12 285 30 30 www.aspel.com.pl Instrukcja użytkowania Konfiguracja bezprzewodowej komunikacji rejestratora AsPEKT 703

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

Tomasz Greszata - Koszalin

Tomasz Greszata - Koszalin T: Wirtualizacja systemu Linux Ubuntu w maszynie wirtualnej VirtualBox. Zadanie1. Odszukaj w serwisie internetowym dobreprogramy.pl informacje na temat programu Oracle VM VirtualBox. VirtualBox to oprogramowanie

Bardziej szczegółowo