Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wielkość: px
Rozpocząć pokaz od strony:

Download "Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE"

Transkrypt

1 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C Ćwiczenie Nr 1 SYSTEM CAD PROJEKTOWANIA UKŁADÓW CYFROWYCH Opracował: dr inż. Walenty Owieczko BIAŁYSTOK 2014

2 Spis treści instrukcji 1. Cel ćwiczenia Opis stanowiska laboratoryjnego Środowisko projektowe systemu CAD Edytory projektowe Czynności przygotowawcze Przebieg ćwiczenia 6 7. Sprawozdanie i forma zaliczenia ćwiczenia Literatura CEL ĆWICZENIA Zapoznanie się z obsługą zestawu laboratoryjnego oraz funkcjami edytorów projektowych systemu CAD projektowania układów cyfrowych w strukturach programowalnych. 2. OPIS STANOWISKA LABORATORYJNEGO Do projektowania układów w strukturach programowalnych wykorzystuje się zestaw laboratoryjny, zawierający układ programowalny FPGA rodziny Cyclone II obsługiwany przez komputer PC i system programów narzędziowych Quartus II. Zestaw DE1 zawiera sprzętowe połączenie układu programowalnego FPGA z 10 przełącznikami dwupozycyjnymi (SW), 4 przyciskami (KEY) oraz wyświetlaczami LED. W celu wykorzystania przełączników i wyświetlaczy wymagane jest przypisanie odpowiednich numerów wyprowadzeń układu FPGA. Lista przypisań wszystkich wyprowadzeń układu zawiera się w pliku DE1_pin_assignments.csv. Szczegółowy opis DE1 oraz sposób korzystania z pliku przypisań znajdują się w instrukcji obsługi na płycie CD. Opis pakietu Quartus II dostępny jest poprzez system pomocy Help programu. W laboratorium stosowana jest opcja Max+Plus II konfiguracji interfejsu użytkownika, wyboru której dokonujemy przy pierwszym uruchamianiu (po zainstalowaniu) programu Quartus II lub w oknie Look&Feel wywołanego poleceniem Customize w zakładce Tools. W celu ułatwienia studentom opanowania pakietu oprogramowania Quartus II do realizacji kolejnych ćwiczeń laboratoryjnych, w dalszej części instrukcji zostanie opisany sposób wykorzystania edytorów projektowych systemu CAD w środowisku Max+Plus II. 2

3 3. ŚRODOWISKO PROJEKTOWE SYSTEMU CAD System Max+Plus II (Multiple Array Matrix Programmable Logic User System) firmy Altera jest zintegrowanym środowiskiem do projektowania układów cyfrowych w strukturach programowalnych. System Max+Plus II zawiera 11 zintegrowanych ze sobą programów użytkowych. Obsługę systemu zapewnia program zarządzający manager, którego okno otwiera się po załadowaniu systemu. Każdą z 11 aplikacji oraz moduł pomocy (Help) można uruchomić z menu głównego managera. Wybrane aplikacje mogą być uruchamiane także za pomocą ikon umieszczonych na pasku narzędzi. Rys Menu managera pakietu MAX+Plus II. 4. EDYTORY PROJEKTOWE System MAX+PLUS II umożliwia przygotowanie opisu układu w postaci: pliku tekstowego (Text Editor) w języku AHDL, VHDL, Verilog (wersja systemu Max+Plus II Baseline ma zablokowane kompilatory języków VHDL i Verilog) schematu z wykorzystaniem standardowych symboli (Symbol Editor) układów cyfrowych przebiegów czasowych (Waveform Editor) Przed rozpoczęciem tworzenia schematu należy nadać nazwę projektowi - menu File>Project>Name. W oknie Project Name wpisujemy nazwę projektu. Edytor Tekstowy (Text Editor) - służy do wprowadzania i redagowania tekstowych zbiorów projektowych AHDL z rozszerzeniem.tdf oraz VHDL z rozszerzeniem.vhd w języku VHDL. Gotowe struktury języka w postaci tzw. szablonów (Templates), dostępne poleceniem Template>Insert AHDL Template lub prawym przyciskiem myszy znacznie ułatwiają tworzenie plików tekstowych. Z listy w oknie dialogowym dokonujemy wyboru i wstawiamy wzorzec. Dwa podkreślenia oznaczają konieczność zastąpienia nazwy i zmiennych właściwymi dla tworzonego projektu. Narzędzia do edycji: Size - rozmiar tekstu, Style - rodzaj linii i inne dostępne w menu Options. 3

4 Edytor graficzny (Graphic Editor) - graficzny interfejs użytkownika do tworzenia schematów, korzystając z bibliotek funkcji: prymitywów \prim (bramki, przerzutniki, porty we/wy, bufory, węzły zasilania i masy), makro i megafunkcji \mf oraz funkcji parametryzowanych LPM (Library Parametrized Module) \mega_lpm. Edytor uruchamia się poleceniem Graphic Editor lub File z rozszerzeniem.gdf,.sch. Dostęp do bibliotek standardowych symboli w plikach z rozszerzeniem.prim, mf, mega, edif - polecenie Symbol>Enter Symbol w menu Graphic Editor lub dwukrotne kliknięcie lewym przyciskiem myszy na planszy. Rys.1-2. Okno edytora graficznego i dostępu do bibliotek symboli. Funkcje lewego menu graficznego: - włączenie trybu wskazującego lewego klawisza myszy, - edycja komentarzy lub etykiet na schemacie, - rysowanie ortogonalnych linii/magistral (zalecany tryb rysowania połączeń), - rysowanie linii/magistral pod dowolnym kątem (tryb niezalecany), - kreślenie łuków, - kreślenie okręgów, - powiększanie schematu (zoom plus), - pomniejszanie schematu (zoom minus), - dopasowanie arkusza rysunkowego do wymiarów ekranu, - wstawienie/usunięcie węzła we wskazanym punkcie przecięcia linii, 4

5 - przesunięcie fragmentu schematu z wydłużaniem linii (tryb drag), - przesunięcie fragmentu schematu z zerwaniem połączeń (tryb move). Narzędzia do edycji - menu Options. Podręczne menu edycji symboli wywołujemy klikając prawym przyciskiem myszy na wybranym symbolu. Edycji nazw wyprowadzeń dokonujemy po wybraniu opcji Edit Pin Name (Edit Ports/Parameters) w oknie wywołanym prawym kliknięciem myszy. Edytor symboli (Symbol Editor) - pomocniczy program graficzny, który służy do oglądania, tworzenia i edytowania symboli reprezentujących układy logiczne. Edytor przebiegów czasowych (Waveform Editor) służy do: opisu projektu za pomocą wykresów czasowych (generuje zbiory projektowe Waveform Design File z rozszerzeniem.wdf) tworzenia przebiegów czasowych i obserwowania wyników symulacji (tworzy zbiory symulacyjne Simulator Channel Files z rozszerzeniem.scf). Rys Okno edytora przebiegów czasowych. Edytor planu zasobów (Floorplan Editor) - pomocniczy program do wprowadzania i modyfikacji planu zasobów fizycznego układu oraz do oglądania rezultatów syntezy topologicznej. Program uruchamia się z managera>floorplan Editor lub z paska narzędzi. Schemat zasobów układu może być wyświetlany w dwóch przekrojach: zewnętrznym (Device View) widok obudowy ze wszystkimi końcówkami i przypisanymi im funkcjami, 5

6 wewnętrznym (LAB View) widok bloków LAB z poszczególnymi komórkami logicznymi. Dla układów z EAB widok poszczególnych MK oraz elementów I/O. 5. CZYNNOŚCI PRZYGOTOWAWCZE Przed przystąpieniem do wykonania ćwiczenia, student powinien: - zapoznać się z instrukcją do ćwiczeń laboratoryjnych, w szczególności z opisem zestawu laboratoryjnego, - zapoznać się z podstawowymi aplikacjami systemu Max+PlusII i Quartus II, - powtórzyć teorię układów logicznych, - opracować rozwiązanie zadań podanych przez prowadzącego z wykorzystaniem zadanych funktorów logicznych. 6. PRZEBIEG ĆWICZENIA Kolejność czynności: 1. Uruchomienie systemu. 2. Uaktywnienie i poznanie funkcji edytorów projektowych systemu. 3. Realizacja zadanych przez prowadzącego etapów edycji projektu. Uwaga! Przed rozpoczęciem tworzenia schematu należy nadać nazwę projektowi - menu File>Project>Name. W oknie Project Name wpisujemy nazwę projektu. 4. Sporządzenie sprawozdania z przebiegu ćwiczenia. 7. SPRAWOZDANIE I FORMA ZALICZENIA ĆWICZENIA Ocenie podlegają czynności zarówno etapu przygotowawczego jak i realizacyjnego. Warunkiem zaliczenia ćwiczenia jest wykonanie poszczególnych etapów ćwiczenia, przedstawienie protokołu oraz wykazanie się wiedzą z zakresu wykonywanego ćwiczenia. Protokół powinien zawierać: temat i cel ćwiczenia, opis wykonywanych zadań, wnioski. 8. LITERATURA 1. Instrukcja obsługi zestawu na płycie CD 2. P. Zbysiński, J. Pasierbiński: Układy programowalne pierwsze kroki. BTC, L. Grodzki, W. Owieczko: Podstawy techniki cyfrowej, Barski M., Jędruch W.: Układy cyfrowe. Podstawy projektowania i opis w języku VHDL. PGd, Gdańsk Materiały pomocnicze strona internetowa firmy Altera 6

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028 Ćwiczenie Nr 1 ZESTAW LABORATORYJNY SYSTEMU

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 9 REALIZACJA

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (2h) Wprowadzenie do oprogramowanie EDA wspomagającego syntezę układów cyfrowych (Quartus II) Instrukcja do

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział lektryczny Katedra Automatyki i lektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALN STRUKTURY LOGICZN SC420 300 Ćwiczenie Nr 3 RALIZACJA UKŁADÓW

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika Białostocka Wydział Elektryczny atedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIA YFROWA 2 Z1A400 028 Ćwiczenie Nr 3 PRZERZUNII D, J i. REALIZAJA UŁADÓW

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWANIA

INSTRUKCJA UŻYTKOWANIA INSTRUKCJA UŻYTKOWANIA KOMPILATORA UKŁADÓW CYFROWYCH ACTIVE CAD Opis układów przy pomocy edytora schematów Opracował dr inż. Piotr Kawalec Warszawa, 2000 rok SPIS TREŚCI str. 1. WSTĘP... 3 2. TWORZENIE

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Celem ćwiczenia jest zapoznanie się z podstawowymi funkcjami i pojęciami związanymi ze środowiskiem AutoCAD 2012 w polskiej wersji językowej.

Celem ćwiczenia jest zapoznanie się z podstawowymi funkcjami i pojęciami związanymi ze środowiskiem AutoCAD 2012 w polskiej wersji językowej. W przygotowaniu ćwiczeń wykorzystano m.in. następujące materiały: 1. Program AutoCAD 2012. 2. Graf J.: AutoCAD 14PL Ćwiczenia. Mikom 1998. 3. Kłosowski P., Grabowska A.: Obsługa programu AutoCAD 14 i 2000.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a 14. 1.2 Ustawienia wprowadzające. Auto CAD 14 1-1. Aby uruchomić AutoCada 14 kliknij ikonę

1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a 14. 1.2 Ustawienia wprowadzające. Auto CAD 14 1-1. Aby uruchomić AutoCada 14 kliknij ikonę Auto CAD 14 1-1 1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a 14 Aby uruchomić AutoCada 14 kliknij ikonę AutoCAD-a 14 można uruchomić również z menu Start Start Programy Autodesk Mechanical 3 AutoCAD R14

Bardziej szczegółowo

Laboratorium z Grafiki InŜynierskiej CAD. Rozpoczęcie pracy z AutoCAD-em. Uruchomienie programu

Laboratorium z Grafiki InŜynierskiej CAD. Rozpoczęcie pracy z AutoCAD-em. Uruchomienie programu Laboratorium z Grafiki InŜynierskiej CAD W przygotowaniu ćwiczeń wykorzystano m.in. następujące materiały: 1. Program AutoCAD 2010. 2. Graf J.: AutoCAD 14PL Ćwiczenia. Mikom 1998. 3. Kłosowski P., Grabowska

Bardziej szczegółowo

Kolory elementów. Kolory elementów

Kolory elementów. Kolory elementów Wszystkie elementy na schematach i planach szaf są wyświetlane w kolorach. Kolory te są zawarte w samych elementach, ale w razie potrzeby można je zmienić za pomocą opcji opisanych poniżej, przy czym dotyczy

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Ćwiczenie Nr 12 PROJEKTOWANIE WYBRANYCH

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Scalone układy programowalne FPGA.

Scalone układy programowalne FPGA. Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW PNEUMATYCZNYCH za pomocą programu komputerowego SMC-PneuDraw 2.8

PROJEKTOWANIE UKŁADÓW PNEUMATYCZNYCH za pomocą programu komputerowego SMC-PneuDraw 2.8 INSTYTUT OBRABIAREK I TECHNOLOGII BUDOWY MASZYN POLITECHNIKI ŁÓDZKIEJ ĆWICZENIE NR P-16 PROJEKTOWANIE UKŁADÓW PNEUMATYCZNYCH za pomocą programu komputerowego SMC-PneuDraw 2.8 Koncepcja i opracowanie: dr

Bardziej szczegółowo

MODELOWANIE I SYMULACJA UKŁADÓW PNEUMATYCZNYCH, HYDRAULICZNYCH I ELEKTRYCZNYCH za pomocą programu komputerowego AUTOSIM 200

MODELOWANIE I SYMULACJA UKŁADÓW PNEUMATYCZNYCH, HYDRAULICZNYCH I ELEKTRYCZNYCH za pomocą programu komputerowego AUTOSIM 200 INSTYTUT OBRABIAREK I TECHNOLOGII BUDOWY MASZYN POLITECHNIKI ŁÓDZKIEJ ĆWICZENIE NR P-15 MODELOWANIE I SYMULACJA UKŁADÓW PNEUMATYCZNYCH, HYDRAULICZNYCH I ELEKTRYCZNYCH za pomocą programu komputerowego AUTOSIM

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Ćw. 0: Wprowadzenie do programu MultiSIM

Ćw. 0: Wprowadzenie do programu MultiSIM Ćw. 0: Wprowadzenie do programu MultiSIM Wstęp Celem ćwiczenia jest zapoznanie się z programem MultiSIM przeznaczonym do analiz i symulacji działania układów elektronicznych. Zaznajamianie się z tym programem

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1C400027 Temat ćwiczenia:

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Rozdział 4: PIERWSZE KROKI

Rozdział 4: PIERWSZE KROKI Rozdział 4: PIERWSZE KROKI 4. Pierwsze kroki 4.1. Uruchomienie programu Program najłatwiej uruchomić za pośrednictwem skrótu na pulpicie, choć równie dobrze możemy tego dokonać poprzez Menu Start systemu

Bardziej szczegółowo

UNIWERSYTET RZESZOWSKI KATEDRA INFORMATYKI

UNIWERSYTET RZESZOWSKI KATEDRA INFORMATYKI UNIWERSYTET RZESZOWSKI KATEDRA INFORMATYKI LABORATORIUM TECHNOLOGIA SYSTEMÓW INFORMATYCZNYCH W BIOTECHNOLOGII Aplikacja bazodanowa: Cz. II Rzeszów, 2010 Strona 1 z 11 APLIKACJA BAZODANOWA MICROSOFT ACCESS

Bardziej szczegółowo

Wstęp 7 Rozdział 1. OpenOffice.ux.pl Writer środowisko pracy 9

Wstęp 7 Rozdział 1. OpenOffice.ux.pl Writer środowisko pracy 9 Wstęp 7 Rozdział 1. OpenOffice.ux.pl Writer środowisko pracy 9 Uruchamianie edytora OpenOffice.ux.pl Writer 9 Dostosowywanie środowiska pracy 11 Menu Widok 14 Ustawienia dokumentu 16 Rozdział 2. OpenOffice

Bardziej szczegółowo

Tworzenie prezentacji w MS PowerPoint

Tworzenie prezentacji w MS PowerPoint Tworzenie prezentacji w MS PowerPoint Program PowerPoint dostarczany jest w pakiecie Office i daje nam możliwość stworzenia prezentacji oraz uatrakcyjnienia materiału, który chcemy przedstawić. Prezentacje

Bardziej szczegółowo

WSCAD. Wykład 1. Wprowadzenie

WSCAD. Wykład 1. Wprowadzenie WSCAD Wykład 1 Wprowadzenie 1 WSCAD Opis wersji podstawowej UmoŜliwia łatwe kreślenie schematów z zakresu elektrotechniki i automatyki, jak równieŝ do tworzenia schematów zabudowy szaf sterowniczych, schematów

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

CAD TOOLS INSTRUKCJA INSTALACJI

CAD TOOLS INSTRUKCJA INSTALACJI CAD TOOLS INSTRUKCJA INSTALACJI Wersja dokumentu: 1.0.01 SPIS TREŚCI 1. WSTĘP... 2 2. INSTALACJA... 2 2.1. WYMAGANIA WSTĘPNE... 2 2.2. INSTALACJA MODUŁU... 2 3. URUCHOMIENIE MODUŁU... 4 4. PALETY NARZĘDZI...

Bardziej szczegółowo

INSTRUKCJA LABORATORYJNA

INSTRUKCJA LABORATORYJNA WAT - WYDZIAŁ ELEKTRONIKI INSTYTUT SYSTEMÓW ELEKTRONICZNYCH ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH Przedmiot: Konstrukcja Urządzeń Elektronicznych Ćwiczenie nr 1 INSTRUKCJA LABORATORYJNA Temat: RYSUNEK

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika iałostocka Wydział Elektryczny Katedra utomatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIK YFOW 2 Z1400 028 Ćwiczenie Nr 5 LIZNIKI WÓKOWE I ZIESIĘNE Opracował:

Bardziej szczegółowo

Operacje na gotowych projektach.

Operacje na gotowych projektach. 1 Operacje na gotowych projektach. I. Informacje wstępne. -Wiele firm udostępnia swoje produkty w postaci katalogów wykonanych w środowisku projektowania AutoCad. Podstawowym rozszerzeniem projektów stworzonych

Bardziej szczegółowo

Scenariusz lekcji. Scenariusz lekcji 1 TEMAT LEKCJI: 2 CELE LEKCJI: 2.1 Wiadomości: 2.2 Umiejętności: 3 METODY NAUCZANIA: 4 ŚRODKI DYDAKTYCZNE:

Scenariusz lekcji. Scenariusz lekcji 1 TEMAT LEKCJI: 2 CELE LEKCJI: 2.1 Wiadomości: 2.2 Umiejętności: 3 METODY NAUCZANIA: 4 ŚRODKI DYDAKTYCZNE: Praca z projektemi w MS VB.NET Scenariusz lekcji Scenariusz lekcji 1 TEMAT LEKCJI: Praca z projektami w MS VB.NET. 2 CELE LEKCJI: 2.1 Wiadomości: Uczeń potrafi: podać definicje podstawowych pojęć związanych

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Księgarnia PWN: Andrzej Jaskulski - AutoCAD 2010/LT Podstawy projektowania parametrycznego i nieparametrycznego

Księgarnia PWN: Andrzej Jaskulski - AutoCAD 2010/LT Podstawy projektowania parametrycznego i nieparametrycznego Księgarnia PWN: Andrzej Jaskulski - AutoCAD 2010/LT2010+. Podstawy projektowania parametrycznego i nieparametrycznego Spis treści 1. Koncepcja i zawartość podręcznika...11 1.1. Zawartość programowa...11

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Zarządzanie automatyczne oznaczeniami (symbole, numery połączeń, kable, zaciski...)

Zarządzanie automatyczne oznaczeniami (symbole, numery połączeń, kable, zaciski...) Typy rysunków Informacje ogólne Program SEE pozwala na: Zarządzanie projektami Zarządzanie środowiskiem (symbole, arkusze formatowe...) Zarządzanie schematami projektu (tworzenie, modyfikacja...) Zarządzanie

Bardziej szczegółowo

Opis szybkiego uruchomienia programu APBSoft

Opis szybkiego uruchomienia programu APBSoft Opis szybkiego uruchomienia programu APBSoft www.telmatik.pl Program APBSoft należy instalować z otrzymanej płyty CD albo pobrać ze strony www.telmatik.pl. W drugim przypadku program dostarczany jest w

Bardziej szczegółowo

Wykład A1. AutoCAD Dr inż. Jarosław Bydłosz

Wykład A1. AutoCAD Dr inż. Jarosław Bydłosz Wykład A1 AutoCAD Dr inż. Jarosław Bydłosz 1 Tematyka zajęć Rysunek techniczny Elementy geometrii wykreślnej Pakiet CAD (AutoCAD 2008) 2 Prowadzący zajęcia Wykłady: Prof. Jadwiga Maciaszek (pok. 204) Ćwiczenia

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 2 (3h) Przełączniki, wyświetlacze, multipleksery - implementacja i obsługa w VHDL Instrukcja pomocnicza do laboratorium

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt Wprowadzenie do programu Eagle Cel i zadania: Celem ćwiczenia jest zapoznanie studentów z programem Eagle (v. 7.7.0) wykorzystywanym do rysowania

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Zadanie 1. Stosowanie stylów

Zadanie 1. Stosowanie stylów Zadanie 1. Stosowanie stylów Styl to zestaw elementów formatowania określających wygląd: tekstu atrybuty czcionki (tzw. styl znaku), akapitów np. wyrównanie tekstu, odstępy między wierszami, wcięcia, a

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Korzystanie z aplikacji P-touch Transfer Manager

Korzystanie z aplikacji P-touch Transfer Manager Korzystanie z aplikacji P-touch Transfer Manager Wersja 0 POL Wprowadzenie Ważna uwaga Treść niniejszego dokumentu i dane techniczne produktu mogą ulegać zmianom bez powiadomienia. Firma Brother zastrzega

Bardziej szczegółowo

Cyfrowe Przetwarzanie Obrazów i Sygnałów

Cyfrowe Przetwarzanie Obrazów i Sygnałów Cyfrowe Przetwarzanie Obrazów i Sygnałów Laboratorium EX0 Wprowadzenie Joanna Ratajczak, Wrocław, 2018 1 Cel i zakres ćwiczenia Celem ćwiczenia jest zapoznanie się ze środowiskiem Matlab/Simulink wraz

Bardziej szczegółowo

Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie.

Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie. Lokalizacja Informacje ogólne Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie. To pojęcie jest używane przez schematy szaf w celu tworzenia

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

INSTYTUT SYSTEMÓW ELEKTRONICZNYCH WEL WAT ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH. Ćwiczenia nr 3: RYSUNEK ELEKTRYCZNY WSPOMAGANY KOMPUTEROWO

INSTYTUT SYSTEMÓW ELEKTRONICZNYCH WEL WAT ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH. Ćwiczenia nr 3: RYSUNEK ELEKTRYCZNY WSPOMAGANY KOMPUTEROWO INSTYTUT SYSTEMÓW ELEKTRONICZNYCH WEL WAT ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH Ćwiczenia nr 3: RYSUNEK ELEKTRYCZNY WSPOMAGANY KOMPUTEROWO A. Cel ćwiczenia: Celem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Praktyczne wykorzystanie arkusza kalkulacyjnego w pracy nauczyciela część 1

Praktyczne wykorzystanie arkusza kalkulacyjnego w pracy nauczyciela część 1 Praktyczne wykorzystanie arkusza kalkulacyjnego w pracy nauczyciela część 1 Katarzyna Nawrot Spis treści: 1. Podstawowe pojęcia a. Arkusz kalkulacyjny b. Komórka c. Zakres komórek d. Formuła e. Pasek formuły

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA.

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA (KSS)

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA (KSS) Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA (KSS) Temat: Platforma Systemowa Wonderware cz. 2 przemysłowa baza danych,

Bardziej szczegółowo

rysunkowej Rys. 1. Widok nowego arkusza rysunku z przeglądarką obiektów i wywołanym poleceniem edycja arkusza

rysunkowej Rys. 1. Widok nowego arkusza rysunku z przeglądarką obiektów i wywołanym poleceniem edycja arkusza Ćwiczenie nr 12 Przygotowanie dokumentacji rysunkowej Wprowadzenie Po wykonaniu modelu części lub zespołu kolejnym krokiem jest wykonanie dokumentacji rysunkowej w postaci rysunków części (rysunki wykonawcze)

Bardziej szczegółowo

Roboty Przemysłowe. Rys. 1. Główne okno Automation Studio.

Roboty Przemysłowe. Rys. 1. Główne okno Automation Studio. Roboty Przemysłowe 2. Pozycjonowane zderzakowo manipulatory pneumatyczne - symulacja pracy manipulatora w środowisku Automation Studio Celem ćwiczenia jest przygotowanie i przeprowadzenie symulacji ruchu

Bardziej szczegółowo

GRAFIKA INŻYNIERSKA POLITECHNIKA ŚLĄSKA WYDZIAŁ ELEKTRYCZNY KATEDRA MECHATRONIKI. Instrukcja do ćwiczenia laboratoryjnego.

GRAFIKA INŻYNIERSKA POLITECHNIKA ŚLĄSKA WYDZIAŁ ELEKTRYCZNY KATEDRA MECHATRONIKI. Instrukcja do ćwiczenia laboratoryjnego. POLITECHNIKA ŚLĄSKA WYDZIAŁ ELEKTRYCZNY KATEDRA MECHATRONIKI Instrukcja do ćwiczenia laboratoryjnego Przedmiot: Symbol ćwiczenia: Tytuł ćwiczenia: GRAFIKA INŻYNIERSKA Ćwiczenie 1 Zasady tworzenia szkiców,

Bardziej szczegółowo

Rozwiązanie ćwiczenia 8a

Rozwiązanie ćwiczenia 8a Rozwiązanie ćwiczenia 8a Aby utworzyć spis ilustracji: 1. Ustaw kursor za tekstem na ostatniej stronie dokumentu Polska_broszura.doc i kliknij przycisk Podział strony na karcie Wstawianie w grupie Strony

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

PREZENTACJE MULTIMEDIALNE cz.2

PREZENTACJE MULTIMEDIALNE cz.2 Wydział Elektryczny Katedra Elektrotechniki Teoretycznej i Metrologii Instrukcja do pracowni z przedmiotu Podstawy Informatyki Kod przedmiotu: TS1C 100 003 Ćwiczenie pt. PREZENTACJE MULTIMEDIALNE cz.2

Bardziej szczegółowo

Automatyzowanie zadan przy uz yciu makr języka Visual Basic

Automatyzowanie zadan przy uz yciu makr języka Visual Basic Automatyzowanie zadan przy uz yciu makr języka Visual Basic Jeśli użytkownik nie korzystał nigdy z makr, nie powinien się zniechęcać. Makro jest po prostu zarejestrowanym zestawem naciśnięć klawiszy i

Bardziej szczegółowo

1. SFC W PAKIECIE ISAGRAF 2. EDYCJA PROGRAMU W JĘZYKU SFC. ISaGRAF WERSJE 3.4 LUB 3.5 1

1. SFC W PAKIECIE ISAGRAF 2. EDYCJA PROGRAMU W JĘZYKU SFC. ISaGRAF WERSJE 3.4 LUB 3.5 1 ISaGRAF WERSJE 3.4 LUB 3.5 1 1. SFC W PAKIECIE ISAGRAF 1.1. Kroki W pakiecie ISaGRAF użytkownik nie ma możliwości definiowania własnych nazw dla kroków. Z każdym krokiem jest związany tzw. numer odniesienia

Bardziej szczegółowo

Programowanie w środowisku graficznym GUI

Programowanie w środowisku graficznym GUI Programowanie w środowisku graficznym GUI 1. Wprowadzenie Język C# jest stworzony do szybkiego tworzenia aplikacji przy pomocy zintegrowanego środowiska programistycznego IDE (ang. Itegrated Development

Bardziej szczegółowo

Ćwiczenie nr 4 INSTRUKCJA LABORATORYJNA

Ćwiczenie nr 4 INSTRUKCJA LABORATORYJNA WAT - WYDZIAŁ ELEKTRONIKI INSTYTUT SYSTEMÓW ELEKTRONICZNYCH ZAKŁAD EKSPLOATACJI SYSTEMÓW ELEKTRONICZNYCH Przedmiot: Konstrukcja Urządzeń Elektronicznych Ćwiczenie nr 4 INSTRUKCJA LABORATORYJNA Temat: PROJEKTOWANIE

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

QUICKSTORE PORTABLE PRO USB3.0

QUICKSTORE PORTABLE PRO USB3.0 QUIKSTORE PORTLE PRO US3.0 PORĘZNIK QUIKSTORE PORTLE PRO US3.0 1. Instalacja oprogramowania 1. Włóż dołączony dysk ysk z narzędziami do napędu /V. 2. Wybierz napęd /V (tj. :\). 3. Otwórz folder Sharkoon.

Bardziej szczegółowo

1.3. Tworzenie obiektów 3D. Rysunek 1.2. Dostępne opcje podręcznego menu dla zaznaczonego obiektu

1.3. Tworzenie obiektów 3D. Rysunek 1.2. Dostępne opcje podręcznego menu dla zaznaczonego obiektu 1. Edytor grafiki Draw 1.1. Okno programu Draw W bezpłatnym pakiecie OpenOffice zawarty jest program graficzny Draw (rysunek 1.1), wyposażony w liczne narzędzia do obróbki obiektów. Program możesz uruchomić,

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium komputerowych systemów pomiarowych Ćwiczenie 8 Wykorzystanie modułów FieldPoint w komputerowych systemach pomiarowych 1. Wprowadzenie

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Ćwiczenia nr 2. Edycja tekstu (Microsoft Word)

Ćwiczenia nr 2. Edycja tekstu (Microsoft Word) Dostosowywanie paska zadań Ćwiczenia nr 2 Edycja tekstu (Microsoft Word) Domyślnie program Word proponuje paski narzędzi Standardowy oraz Formatowanie z zestawem opcji widocznym poniżej: Można jednak zmodyfikować

Bardziej szczegółowo

1. Aplikacja LOGO! App do LOGO! 8 i LOGO! 7

1. Aplikacja LOGO! App do LOGO! 8 i LOGO! 7 1. Aplikacja do LOGO! 8 i LOGO! 7 1.1. Przegląd funkcji Darmowa aplikacja umożliwia podgląd wartości parametrów procesowych modułu podstawowego LOGO! 8 i LOGO! 7 za pomocą smartfona lub tabletu przez sieć

Bardziej szczegółowo

Zakład Systemów Rozproszonych

Zakład Systemów Rozproszonych Zakład Systemów Rozproszonych Politechnika Rzeszowska Moduł 5: Wybrane programy użytkowe Edytor Vi Edytor Vi uruchamiany jest w oknie terminala. Przy jego pomocy możemy dokonywać następujących operacji:

Bardziej szczegółowo

I. Instalacja programu. Dopasowanie CADprofi do programu CAD

I. Instalacja programu. Dopasowanie CADprofi do programu CAD I. Instalacja programu Pliki instalacyjne można pobrać z internetu lub z płyty MULTIMEDIA CD. Na płycie znajduje się folder Program do współpracy z AUTOCAD. W folderze jest plik lamel-cad (wersja) który

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

CIC-310 REALIZACJA PROJEKTÓW

CIC-310 REALIZACJA PROJEKTÓW LABORATORIUM TECHNIKA CYFROWA CIC-310 REALIZACJA PROJEKTÓW W FPGA Katedra Elektroniki AGH Kraków 28.10.2007 Rev.1.0 1. CEL CWICZENIA?? Umiejetnosc uruchomienia zaprojektowanych projektów ukladów cyfrowych

Bardziej szczegółowo

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia nowego projektu (poniżej są utworzone projekty) Po kliknięciu

Bardziej szczegółowo

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert)

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert) Procesy i techniki produkcyjne Wydział Mechaniczny Ćwiczenie 3 (2) CAD/CAM Zasady budowy bibliotek parametrycznych Cel ćwiczenia: Celem tego zestawu ćwiczeń 3.1, 3.2 jest opanowanie techniki budowy i wykorzystania

Bardziej szczegółowo

EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Wprowadzenie do zajęć

EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Wprowadzenie do zajęć Politechnika Śląska Wydział Organizacji i Zarządzania Katedra Podstaw Systemów Technicznych EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Ćwiczenie 1 Wprowadzenie do zajęć Plan ćwiczenia 1. Zapoznanie się

Bardziej szczegółowo

Modelowanie obiektowe - Ćw. 1.

Modelowanie obiektowe - Ćw. 1. 1 Modelowanie obiektowe - Ćw. 1. Treść zajęć: Zapoznanie z podstawowymi funkcjami programu Enterprise Architect (tworzenie nowego projektu, korzystanie z podstawowych narzędzi programu itp.). Enterprise

Bardziej szczegółowo

WSCAD. Wykład 5 Szafy sterownicze

WSCAD. Wykład 5 Szafy sterownicze WSCAD Wykład 5 Szafy sterownicze MenedŜer szaf sterowniczych MenedŜer szaf sterowniczych w wersji Professional oferuje pomoc przy tworzeniu zabudowy szafy sterowniczej. Pokazuje wszystkie uŝyte w schematach

Bardziej szczegółowo

Opis ikon OPIS IKON. Ikony w pionowym pasku narzędzi: Ikony te używane są przy edycji mapy. ta ikona otwiera szereg kolejnych ikon, które pozwalają na

Opis ikon OPIS IKON. Ikony w pionowym pasku narzędzi: Ikony te używane są przy edycji mapy. ta ikona otwiera szereg kolejnych ikon, które pozwalają na OPIS IKON Poniższa instrukcja opisuje ikony w programie Agrinavia Map. Funkcje związane z poszczególnymi ikonami, można również uruchomić korzystając z paska narzędzi. Ikony w pionowym pasku narzędzi:

Bardziej szczegółowo

Skrócony przewodnik OPROGRAMOWANIE PC. MultiCon Emulator

Skrócony przewodnik OPROGRAMOWANIE PC. MultiCon Emulator Wspomagamy procesy automatyzacji od 1986 r. Skrócony przewodnik OPROGRAMOWANIE PC MultiCon Emulator Wersja: od v.1.0.0 Do współpracy z rejestratorami serii MultiCon Przed rozpoczęciem użytkowania oprogramowania

Bardziej szczegółowo

Przed rozpoczęciem pracy otwórz nowy plik (Ctrl +N) wykorzystując szablon acadiso.dwt

Przed rozpoczęciem pracy otwórz nowy plik (Ctrl +N) wykorzystując szablon acadiso.dwt Przed rozpoczęciem pracy otwórz nowy plik (Ctrl +N) wykorzystując szablon acadiso.dwt Zadanie: Utwórz szablon rysunkowy składający się z: - warstw - tabelki rysunkowej w postaci bloku (według wzoru poniżej)

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Plan nauczania informatyki Opracował: mgr Daniel Starego

Plan nauczania informatyki Opracował: mgr Daniel Starego Obowiązuje od roku szkolnego 000/00 Plan nauczania informatyki Opracował: mgr Daniel Starego Szkoła podstawowa klasy IV VI Dział, tematyka L. godz. I rok II rok. TECHNIKA KOMPUTEROWA W ŻYCIU CZŁOWIEKA

Bardziej szczegółowo

Spis treści CZĘŚĆ I. NIEPARAMETRYCZNE PROJEKTOWANIE 2D...31

Spis treści CZĘŚĆ I. NIEPARAMETRYCZNE PROJEKTOWANIE 2D...31 Spis treści 1. Koncepcja i zawartość podręcznika...13 1.1. Zawartość programowa...13 1.2. Zakładany efekt i metodyka szkolenia...14 1.3. Przeznaczenie...14 1.4. Autor...14 1.4.1. Blog...15 1.4.2. Kanał

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

FAQ: 00000014/PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300

FAQ: 00000014/PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300 PoniŜszy dokument zawiera opis konfiguracji programu STEP7 dla sterowników SIMATIC S7 300/S7 400 w celu stworzenia komunikacji między dwoma stacjami S7 300 za pomocą sieci MPI i usługi komunikacyjnej Danych

Bardziej szczegółowo