MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

Wielkość: px
Rozpocząć pokaz od strony:

Download "MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu"

Transkrypt

1 MMfpga01 MMfpga11 Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu 1

2 Spis treści 1. Instalacja aplikacji QUARTUS II Web Edition Instalacja programu QUARTUS II Web Edition Instalacja programatora USB-Blaster Tworzenie nowego projektu Projekt schematu blokowego Tworzenie modułu w VHDL'u Przypisanie pinów Kompilacja Programowanie układu...19 Programowanie za pomocą interfejsu JTAG...20 Programowanie pamięci konfiguracyjnej tryb AS Programming Pomoc techniczna

3 1. Instalacja aplikacji QUARTUS II Web Edition W pierwszej kolejności należy pobrać aplikację ze strony producenta wchodząc pod poniższy adres Po wybraniu najnowszej wersji klikamy przycisk GO. Na stronie mamy do wyboru dwa warianty pobrania aplikacji. Ściągnięcie całej spakowanej wersji Instalacja bezpośrednio z serwera 3

4 Bez względu na wybór wariantu na kolejnej stronie, musimy się zarejestrować lub wybrać opcję jednorazowego dostępu. W przypadku opcji z jednorazowym dostępem należy zaznaczyć radio button Get One-time Access, podać swój , a następnie przycisnąć przycisk Get One-time Access. Jeśli mamy zablokowane automatyczne wyskakiwanie okien należy wejść w link Download your file now. 4

5 Producent zaleca instalację/pobieranie Quartus'a za pomocą Altera Download Menager. Po ściągnięciu należy wypakować program w dowolne miejsce. Po tej czynności instalator uruchamia się automatycznie. Możliwe jest również, przerwanie instalacji i uruchomienie jej w późniejszym czasie. 2. Instalacja programu QUARTUS II Web Edition Instalacja uruchamia się automatycznie po wypakowaniu lub można wywołać ją później uruchamiając plik setup.exe znajdujący się w miejscu, w którym wypakowaliśmy Quartus'a. Sam proces instalacji przebiega w bardzo intuicyjny sposób. W pierwszym oknie instalatora klikamy NEXT. 5

6 W polu Destination Directory podajemy ścieżkę, pod którą zostanie zainstalowany Quartus. Warta zaznaczania jest możliwość nie instalowania komponentów obsługujących inne rodziny układów programowalnych niż Cyclone co pozwala nam zaoszczędzić niemal 2GB pamięci. Po zainstalowaniu można usunąć pliki instalacyjne oraz rozpakowany instalator Quartus'a. 6

7 3. Instalacja programatora USB-Blaster Podłącz swój programator do wybranego gniazda USB. Windows automatycznie powinien wykryć nowy sprzęt. Wybieramy drugi wariant Zainstaluj z listy lub określonej lokalizacji (zaawansowane). Klikamy przeglądaj i wskazujemy lokalizację sterowników programatora USB-Blaster. Znajdują się one w folderze gdzie zainstalowaliśmy Quartus'a - \Quartus\drivers\usb-blaster. Po zainstalowaniu programatora środowisko jest w pełni gotowe do pracy. 7

8 4. Tworzenie nowego projektu. Uruchamiamy Quartus'a i wchodzimy w menu File->New Project Wizard... W pierwszym polu wskazujemy lokalizację pod którą zostanie zapisany nasz projekt. W drugim polu podajemy nazwę projektu. W trzecim polu podjemy nazwę głównej jednostki. Przechodzimy do następnego okna klikając Next. 8

9 W poniższym oknie możemy dodać pliki projektowe utworzone w innych projektach np. Pliki VHDL, biblioteki itp. W naszym projekcie pomijamy to i przechodzimy dalej klikając Next. 9

10 W kolejnym oknie dokonujemy wyboru rodziny układów (Device family) Cyclone oraz z listy Available devices wybieramy układy w zależności od posiadanego modułu. MMfpga01 EP1C3T100C8 MMfpga11 EP1C3T144C8 Po wybraniu układu Finish nasz projekt zostaje utworzony. 10

11 5. Projekt schematu blokowego W celu stworzenia nowego schematu blokowego wchodzi w menu File->New... Wybieramy Block Diagram/Schematic File i klikamy OK. 11

12 Pojawia się nam okno z siatką na której możemy tworzyć nasz schemat logiczny/blokowy. W naszym przykładowym projekcie będziemy wykorzystywać podstawowe narzędzia. Symbol Tool Narzędzia, elementy logiczne, piny itp. Othogonal Node Tool Przewód łączący elementy Othogonal Bus Tool Magistrala Powiększenie Lewy/Prawy klawisz myszy Pełen ekran Wybieramy Symbol Tool 12

13 W liście library mamy do wyboru podstawowe elementy z których najważniejsze to: 1. Primitivies Pin (input-wejściowe,output-wyjściowe,inout_wejściowo/wyjściowe) Logic (podstawowe elementy logiczne bramki AND, NOR, NOT itp) Others (inne, GND wymuszenie stanu niskiego,vcc wymuszenie stanu wysokiego) Storage (przerzutniki) 2. Others maxplus2 (podstawowe elementy logiczne np itp) 3. Megafunctions IO Gates Storage Arithmetic W naszym projekcie wykorzystamy gotowy licznik, którego wyjście Carry przepełnienia wykorzystamy do podziału zegara przez tak, aby na jego wyjściu uzyskać częstotliwość 1Hz. W tym celu w oknie Symbol na liście Libraries rozwijamy Megafunctions->Arithmetic->lpm_counter i klikamy OK. Powinien pojawić się MegaWizard kreator umożliwiający konfigurację licznika. Zaznaczamy VHDL i klikamy Next. 13

14 W kolejnym oknie dokonujemy konfiguracji licznika, dla podziału przez konieczne jest ustawienie 26 bitowego wyjścia licznika. Kierunek zliczania licznika tylko do góry. Po konfiguracji przechodzimy dalej klikając NEXT. W kolejnym oknie wybieramy opcję zliczania modulo oraz zaznaczamy dodatkową opcję Carry-out informującą o przepełnieniu licznika. Nasz licznik jest już skonfigurowany, aby wyjść z kreatora i umieścić moduł na schemacie klikamy Finish. 14

15 Po tej czynności blok licznika zostanie umieszczony na schemacie. 6. Tworzenie modułu w VHDL'u Do naszego projektu dodamy licznik 1 z 8, którego kod zostanie napisany w VHDL'u. W tym celu wchodzimy File->New... i wybieramy VHDL file. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Licznik is port( Q: out std_logic_vector(7 downto 0); CLK: in std_logic ); end entity; architecture behave of Licznik is signal licz: std_logic_vector(2 downto 0):=(others=>'0'); begin process(clk) begin if CLK'event and CLK='1' then licz<=licz+1; end if; end process; Q <= " " when licz="000" else " " when licz="001" else " " when licz="010" else " " when licz="011" else " " when licz="100" else " " when licz="101" else " " when licz="110" else " " when licz="111" else unaffected; end behave; 15

16 Teraz utworzymy symbol blokowy z kodu napisanego w VHDL'u. Teraz możemy wstawić nasz Symbol do schematu i połączyć z modułem LPM_COUNTER. Wybieramy ikonę Symbol Tool 16

17 Nasz schemat jest już prawie gotowy. Wystarczy dodać parę brakujących elementów jak na rysunku i przypisać symboliczne nazwy odpowiednim wyprowadzeniom. Do schematu należy dodać: jedno wejście INPUT (znajdujące się w Symbol Tool->Primitivies->Pin) i przypisujemy nazwę CLOCK_50 jedno wyjście OUTPUT (znajdujące się w Symbol Tool->Primitivies->Pin) i przypisujemy nazwę LED[7..0] połączyć wyjście count LPM_COUNTER z wejściem Licznika CLK za pomocą diody na płytce EVBfpga zapalają się przy podaniu stanu niskiego dlatego na wyjście licznika Q[7..0] podłączamy negację i za pomocą magistrali łączymy z wyjściem OUTPUT LED[7..0]. Można również połączyć bezpośrednio jak na poniższym schemacie. 7. Przypisanie pinów W projekcie pozostało jeszcze przypisanie symbolicznych nazw użytych w schemacie do pinów w rzeczywistym układzie. Wchodzimy w menu Assignments->Pin Planer 17

18 W tabeli jako Node Name podajemy symboliczną nazwę użytą w schemacie, a jako Location wybieramy, które wyprowadzenie układu chcemy jej przypisać. Symboliczna nazwa Mmfpga01 MMfpga11 LED[7] PIN_23(J1_21) lub dowolny inny PIN_98 LED[6] PIN_26 PIN_104 LED[5] PIN_25 PIN_103 LED[4] PIN_24 PIN_100 LED[3] PIN_22 PIN_99 LED[2] PIN_21 PIN_122(J1_16) lub dowolny inny LED[1] PIN_20 PIN_97 LED[0] PIN_17 PIN_96 CLOCK_50 PIN_10(CLK0) PIN_16(CLK0) Uwaga!! W układzie MMfpga01 diodę LED[7] nie można połączyć z płytką EVBfpga za pomocą zworki LED7 - J1_17 na złączu J5. Diodę LED[7] zaleca połączyć przewodem z dowolnym pinem np. PIN_23 lub dowolnym innym wybranym i nie używanym wyprowadzeniem. W układzie MMfpga11 diodę LED[2] nie można połączyć z płytką EVBfpga za pomocą zworki LED2 - J1_23 na złączu J5. Diodę LED[2] zaleca połączyć przewodem z dowolnym pinem np. PIN_122 lub dowolnym innym wybranym i nie używanym wyprowadzeniem. Przypisane piny można eksportować do pliku by później wykorzystać je w innym projekcie. Assignments->Import Assignments - przywrócenie przypisanych pinów Assignments->Export Assignments eksport przypisanych pinów do pliku 18

19 8. Kompilacja Nasz projekt jest ukończony, teraz możemy przejść do kompilacji wybierając z menu Processing->Start Compilation. Jeśli w trakcie tworzenia projektu nie popełniliśmy żadnego błędu powinniśmy uzyskać jednakowe okno. Po kompilacji mamy możliwość zobaczenia jaki procent zasobów układu programowalnego został wykorzystany, W naszym przypadku nie trzeba przejmować się Warning'ami, w bardziej złożonych projektach nie można jednak ich ignorować! 9. Programowanie układu Interfejs JTAG nie programuje pamięci konfiguracyjnej, wgrany program znika po wyłączeniu zasilania, ma to jednak zaletę ponieważ nie zużywa to pamięci EPCS4, której żywotność wynosi 10 tysięcy cykli programowania. Ta opcja jest zalecana w trakcie testów. Active Serial Programming specjalny tryb do programowania pamięci nieulotnej. Nasze moduły można programować w sposób: MMfpga01 JTAG AS Programming (EPCS conf) MMfpga11 JTAG nie jest wyprowadzony na oddzielnym złączu, aby korzystać z tego interfejsu koniczne jest podłączenie się programatorem do odpowiednich wyprowadzeń. Zaleca się wyprowadzenie przewodami interfejsu JTAG'a na złącze uniwersalne J27 i podłączanie programatora do złącza J28 AS Programming (EPCS conf) 19

20 Programowanie za pomocą interfejsu JTAG Uwaga! Po zaprogramowaniu program znika po wyłączeniu zasilania! Aby przejść do okna programowania należy wejść Tools->Programmer lub kliknąć ikonkę Wykrywamy programator za pomocą przycisku Hardware Setup Ustawiamy tryb JTAG w polu wyboru Mode Używany przez nas programator powinien być widoczny na liście Available hardware items. W oknie Hardware Setup w polu wyboru Currently selected hardware wybieramy USB-Blaster (lub inny jeśli takiego używamy) i klikamy Close. Programowanie układu dokonujemy przyciskiem 20

21 Programowanie pamięci konfiguracyjnej tryb AS Programming Pamięć konfiguracyjna według danych producenta można programować około 10 tysięcy razy. Program jest pamiętany i przywracany do układu programowalnego po przywróceniu zasilania. Na początku konieczne jest wybranie typu pamięci wbudowanej na moduł EPCS4. Wchodzimy do menu Assignments->Device Następnie klikamy przycisk Device and Pin Options 21

22 Przechodzimy do zakładki Configuration W polu wyboru Configuration scheme wybieramy Active Serial (can use Configuration Device) Zaznaczamy Use configuration device i z pola wyboru wybieramy EPCS4. Zatwierdzamy ustawienia klikając OK. 22

23 Przechodzimy do okna programowania i z pola wyboru wybieramy tryb Active Serial Programming Wykrycie programatora dokonujemy identycznie jak w przypadku JTAG'a. Powinien pojawić się komunikat, aby zaprogramować pamięć konfiguracyjną konieczne wybranie jest Yes 23

24 Kolejnym krokiem jest dodanie pliku przeznaczonego do programowania pamięci konfiguracyjnej. Klikamy Add File i wybieramy plik z folderu z naszego projektu z rozszerzeniem *.pof Konieczne jest zaznaczenie Program/Configure. Programowania układu dokonujemy za pomocą przycisku Uwaga! Po za programowaniu układu konieczne jest odłączanie programatora. 10. Pomoc techniczna W pytaniu prosimy umieścić szczegółową informację dotyczącą problemu oraz nazwę modułu. support@propox.com Poradnik opracował Piotr Banach. banach.piotr@gmail.com 24

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Arkadiusz Pantoł MATERIAŁY POMOCNICZE DO KURSU TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Obsługa środowiska Quartus Prime może byd kłopotliwa, jeżeli chodzi o stworzenie samego projektu.

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Wer

Quartus. Rafał Walkowiak IIn PP Wer Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Pierwsze kroki z FPGA (2)

Pierwsze kroki z FPGA (2) Pierwsze kroki z FPGA (2) Szkoła MAXimatora pierwszy projekt z edytorem schematów Projekt w FPGA 0000 D[3..0] Zerowanie (RES) LD Zmiana kierunku zliczania góra/dół U/DN Sygnał taktujący 10MHz CLK TTL74169

Bardziej szczegółowo

MultiTool instrukcja użytkownika 2010 SFAR

MultiTool instrukcja użytkownika 2010 SFAR MultiTool instrukcja użytkownika 2010 SFAR Tytuł dokumentu: MultiTool instrukcja użytkownika Wersja dokumentu: V1.0 Data: 21.06.2010 Wersja urządzenia którego dotyczy dokumentacja: MultiTool ver. 1.00

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWNIKA MPCC

INSTRUKCJA UŻYTKOWNIKA MPCC V1.0.0 (10.14.2015) 1 (7) INSTALACJA UWAGA: Produkt działa jako urządzenie nadrzędne Modbus. Dlatego w przypadku podłączania narzędzia do istniejącej sieci Modbus konieczne może okazać się odłączenie innego

Bardziej szczegółowo

Instrukcja instalacji oraz konfiguracji sterowników. MaxiEcu 2.0

Instrukcja instalacji oraz konfiguracji sterowników. MaxiEcu 2.0 Instrukcja instalacji oraz konfiguracji sterowników. MaxiEcu 2.0 Wersja instrukcji 1.0.1 1 SPIS TREŚCI 1. Sprawdzenie wersji systemu Windows... 3 2. Instalacja sterowników interfejsu diagnostycznego...

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Programator AVR MKII. Instrukcja obsługi. Copyright by Barion www.barion-st.com 2014-05-31

Programator AVR MKII. Instrukcja obsługi. Copyright by Barion www.barion-st.com 2014-05-31 2014 Programator AVR MKII Instrukcja obsługi www.barion-st.com 2014-05-31 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest AVR MKII?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 4 2. BUDOWA

Bardziej szczegółowo

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 SPIS TREŚCI SPIS TREŚCI...2 WSTĘP...2 INSTRUKCJA INSTALACJI I KONFIGURACJI...3 SCHEMAT INSTALACJI KARTY SIM W SE GC85...3 INSTALACJA

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Widok programatora PonyProgUSB wersja 1.0 oraz jego elementy przedstawiono na poniższym rysunku.

Widok programatora PonyProgUSB wersja 1.0 oraz jego elementy przedstawiono na poniższym rysunku. Telwis PonyProg USB INSTRUKCJA OBSŁUGI Widok programatora PonyProgUSB wersja 1.0 oraz jego elementy przedstawiono na poniższym rysunku. Program PonyProgUSB nie jest wersją instalacyjną. Uruchamiamy go

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232

Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232 Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232 Na przykładzie sterownika XLe SPIS TREŚCI Przygotowanie kabla łączącego sterownik z komputerem... 2 Konfiguracja ustawień

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Nagrywamy podcasty program Audacity

Nagrywamy podcasty program Audacity Pobieranie i instalacja Program Audacity jest darmowym zaawansowanym i wielościeżkowym edytorem plików dźwiękowych rozpowszechnianym na licencji GNU GPL. Jest w wersjach dla systemów typu Unix/Linux, Microsoft

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Listopad 2017

Quartus. Rafał Walkowiak IIn PP Listopad 2017 Quartus Rafał Walkowiak IIn PP Listopad 2017 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

NWD-210N Bezprzewodowy adapter USB 802.11n

NWD-210N Bezprzewodowy adapter USB 802.11n NWD-210N Bezprzewodowy adapter USB 802.11n Skrócona instrukcja obsługi Wersja 1.00 11/2007 Edycja 1 Copyright 2006. Wszelkie prawa zastrzeżone. Przegląd NWD210N to adapter sieciowy USB do komputerów osobistych.

Bardziej szczegółowo

BACKUP BAZ DANYCH FIREBIRD

BACKUP BAZ DANYCH FIREBIRD BACKUP BAZ DANYCH FIREBIRD SPIS TREŚCI Informacje ogólne... 2 Tworzenie projektu... 2 Krok 1: Informacje podstawowe... 2 Krok 2: Dane... 3 Backup bazy umieszczonej na serwerze... 3 Bezpośredni backup pliku

Bardziej szczegółowo

Scalone układy programowalne FPGA.

Scalone układy programowalne FPGA. Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000 Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX1000 Sterownik CX1000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

Instrukcja obsługi debugera JTAG-AVR USB v2

Instrukcja obsługi debugera JTAG-AVR USB v2 Instrukcja obsługi debugera JTAG-AVR USB v2 Instrukcja obsługi JTAG-AVR USB v2 www.and-tech.pl Strona 1 Spis treści 1. Parametry debugera...3 2. Instalacja...4 3. Użycie debugera JATG-AVR USB v2 w środowisko

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

ZL19PRG. Programator USB dla układów PLD firmy Altera

ZL19PRG. Programator USB dla układów PLD firmy Altera ZL19PRG Programator USB dla układów PLD firmy Altera Nowoczesny programator i konfigurator układów PLD produkowanych przez firmę Altera, w pełni zgodny ze standardem USB Blaster, dzięki czemu współpracuje

Bardziej szczegółowo

Engenius/Senao EUB-362EXT IEEE802.11b/g USB Instrukcja Obsługi

Engenius/Senao EUB-362EXT IEEE802.11b/g USB Instrukcja Obsługi Engenius/Senao EUB-362EXT IEEE802.11b/g USB Instrukcja Obsługi 1 WSTĘP 2 1 Wstęp 1.1 Wymagania systemowe Minimalne wymagania systemowe niezbędne do używania adaptera USB. Komputer PC wyposażony w interfejs

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Projektowanie hierarchiczne Mariusz Rawski

Projektowanie hierarchiczne Mariusz Rawski CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

Site Installer v2.4.xx

Site Installer v2.4.xx Instrukcja programowania Site Installer v2.4.xx Strona 1 z 12 IP v1.00 Spis Treści 1. INSTALACJA... 3 1.1 Usunięcie poprzedniej wersji programu... 3 1.2 Instalowanie oprogramowania... 3 2. UŻYTKOWANIE

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 www.and-tech.pl Strona 1 Zawartość Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2

Bardziej szczegółowo

INTERFEJS LPG/CNG FTDI USB INSTRUKCJA INSTALACJI ORAZ KONFIGURACJI URZĄDZENIA

INTERFEJS LPG/CNG FTDI USB INSTRUKCJA INSTALACJI ORAZ KONFIGURACJI URZĄDZENIA INTERFEJS LPG/CNG FTDI USB INSTRUKCJA INSTALACJI ORAZ KONFIGURACJI URZĄDZENIA wersja 1.0 http://www.projekt-tech.pl 1. Wymagania sprzętowe - komputer klasy PC z portem USB - system operacyjny Microsoft

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 Strona 1 Zawartość 1. Instalacja... 3 2. Instalacja sterowników w trybie HID.... 3 3. Programowanie

Bardziej szczegółowo

Spis treści... 2... 4... 7... 8... 10

Spis treści... 2... 4... 7... 8... 10 Spis treści... 2... 4... 7... 8... 10 Czasem, aby zainstalować najnowszą wersję programu Bitdefender należy odinstalować jego poprzednią wersję. Instalacja najnowszej wersji jest zawsze wskazana nowsze

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI PROGRAMU INSTAR 1.0

INSTRUKCJA OBSŁUGI PROGRAMU INSTAR 1.0 INSTRUKCJA OBSŁUGI PROGRAMU INSTAR 1.0 ver. 30.01.2014 Spis treści I. Wstęp... 2 II. Transmisja danych... 3 III. Aktualizacja oprogramowania... 4 IV. Ustawienia parametrów... 4 V. Konfiguracja modemu radiowego....

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI PROGRAMATORA WILLEM

INSTRUKCJA OBSŁUGI PROGRAMATORA WILLEM INSTRUKCJA OBSŁUGI PROGRAMATORA WILLEM INSTALACJA PROGRAMU: 1. Uruchamiamy program setup. Po chwili pojawi się okno powitalne. W celu kontynuowania instalacji klikamy na przycisk Next. 2. Wybieramy ścieżkę

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

Instalacja sterownika portu USB

Instalacja sterownika portu USB Instrukcja instalacji modemu TechLab ED77 w systemie Mac OS X. Instalacja modemu podzielona jest na cztery etapy: instalacja sterownika portu USB, instalacja skryptu modemu, konfiguracja modemu, konfiguracja

Bardziej szczegółowo

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Stanowisko laboratoryjne ze sterownikiem BX9000 Sterownik BX9000 należy

Bardziej szczegółowo

INFORMATOR TECHNICZNY WONDERWARE

INFORMATOR TECHNICZNY WONDERWARE Informator techniczny nr 153 25-03-2015 INFORMATOR TECHNICZNY WONDERWARE Instalacja oprogramowania Wonderware Historian 2014 R2 UWAGA! Przygotowując system operacyjny Windows na potrzeby oprogramowania

Bardziej szczegółowo

Instrukcja instalacji programu serwisowego NTSN krok po kroku

Instrukcja instalacji programu serwisowego NTSN krok po kroku Instrukcja instalacji programu serwisowego NTSN krok po kroku 1. Pobieramy program serwisowy ze strony http://serwis.monument9.pl/program_serwisowy/ - bezpośredni link znajduje się w polu POBIERZ PROGRAM.

Bardziej szczegółowo

Laboratorium - Instalacja Virtual PC

Laboratorium - Instalacja Virtual PC 5.0 5.4.1.4 Laboratorium - Instalacja Virtual PC Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium zainstalujesz i skonfigurujesz Tryb XP w Windows 7. Następnie uruchomisz podstawowe

Bardziej szczegółowo

1.1 Co to jest USBasp?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 3 1.4 Zawartość zestawu... 4

1.1 Co to jest USBasp?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 3 1.4 Zawartość zestawu... 4 2012 Programator AVR USBasp Instrukcja obsługi 2012-02-11 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest USBasp?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 3 1.4 Zawartość zestawu... 4

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

Windows 10 - Jak uruchomić system w trybie

Windows 10 - Jak uruchomić system w trybie 1 (Pobrane z slow7.pl) Windows 10 - Jak uruchomić system w trybie awaryjnym? Najprostszym ze sposobów wymuszenia na systemie przejścia do trybu awaryjnego jest wybranie Start a następnie Zasilanie i z

Bardziej szczegółowo

Strona 1 z 10. 1. Przedni panel. LED Opis funkcji ADSL

Strona 1 z 10. 1. Przedni panel. LED Opis funkcji ADSL Strona 1 z 10 Wskaźniki i złącza urządzenia Zawartość opakowania Podłączenie urządzenia Instalacja oprogramowania Konfiguracja połączenia sieciowego Konfiguracja urządzenia Rejestracja usługi Neostrada

Bardziej szczegółowo

AKTYWNY SAMORZĄD. Instrukcja instalacji, aktualizacji i konfiguracji. www.as.tylda.pl

AKTYWNY SAMORZĄD. Instrukcja instalacji, aktualizacji i konfiguracji. www.as.tylda.pl AKTYWNY SAMORZĄD Instrukcja instalacji, aktualizacji i konfiguracji TYLDA Sp. z o.o. 65-001 Zielona Góra ul. Wazów 6a tel. 68 324-24-72 68 325-75-10 www.tylda.pl tylda@tylda.pl wersja 1.0 2013.04.12 2

Bardziej szczegółowo

Instalacja PPPoE w systemie Windows XP za pomocą kreatora nowego połączenia sieciowego

Instalacja PPPoE w systemie Windows XP za pomocą kreatora nowego połączenia sieciowego Instalacja PPPoE w systemie Windows XP za pomocą kreatora nowego połączenia sieciowego System Windows XP posiada wbudowaną obsługę połączenia PPPoE, nazywa się to połączenie szerokopasmowe, wymagające

Bardziej szczegółowo

Instrukcja instalacji środowiska testowego na TestingCup wersja 1.0

Instrukcja instalacji środowiska testowego na TestingCup wersja 1.0 Instrukcja instalacji środowiska testowego na TestingCup 2017 wersja 1.0 Spis treści: 1. Wstęp Błąd! Nie zdefiniowano zakładki. 2. Konfiguracja sprzętowa 2 3. Instalacja bazy danych MySQL 5.7 2 4. Import

Bardziej szczegółowo

INSTALACJA modemu Trimble TDL3G

INSTALACJA modemu Trimble TDL3G INSTALACJA modemu Trimble TDL3G Parowanie modemu z kontrolerem TSC2 1. Aby przystąpić do procedury parowania modemu TDL 3G z kontrolerem, należy uprzednio zainstalować w kontrolerze aplikację TDL 3G Connector

Bardziej szczegółowo

MobileNET. Instalacja i konfiguracja MobileNET SIECI BLOKOWE S.C.

MobileNET. Instalacja i konfiguracja MobileNET SIECI BLOKOWE S.C. MobileNET Instalacja i konfiguracja MobileNET SIECI BLOKOWE S.C. MobileNET 2011 MobileNET Instalacja i konfiguracja MobileNET Zawartość OPIS MODEMU... 2 PRZYGOTOWANIE MODEMU...... 3 INSTALACJA MODEMU W

Bardziej szczegółowo

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX9000 Sterownik CX9000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

cmt + CODESYS, oraz zdalne wejścia/ wyjścia

cmt + CODESYS, oraz zdalne wejścia/ wyjścia Instrukcja użytkownika cmt + CODESYS, oraz zdalne wejścia/ wyjścia W tej instrukcji przedstawiono krok po kroku, jak skonfigurować panel cmt3090 i zdalne wejścia/ wyjścia. V1.00 Spis treści 1. Instalacja

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI. kontakt@msx-elektronika.pl

INSTRUKCJA OBSŁUGI. kontakt@msx-elektronika.pl INSTRUKCJA OBSŁUGI Programator AVR USBasp jest w pełni zgodny z programatorem USBasp, stworzonym przez Thomasa Fischla. Za jego pomocą możemy programować mikrokontrolery z rodziny AVR firmy ATMEL poprzez

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Strona 1 Spis treści 1. Instalacja...3 2. Instalacja sterowników w trybie HID....3 3. Programowanie w trybie HID...4 4. Instalacja w trybie COM....5 5. Programowanie

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-510L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-510L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-510L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Platforma szkoleniowa krok po kroku. Poradnik Kursanta

Platforma szkoleniowa krok po kroku. Poradnik Kursanta - 1 - Platforma szkoleniowa krok po kroku Poradnik Kursanta PORA - 2 - Jeśli masz problemy z uruchomieniem Platformy szkoleniowej warto sprawdzić poprawność poniższych konfiguracji: - 3 - SPRZĘT Procesor

Bardziej szczegółowo

Tomasz Greszata - Koszalin

Tomasz Greszata - Koszalin T: Wirtualizacja systemu Linux Ubuntu w maszynie wirtualnej VirtualBox. Zadanie1. Odszukaj w serwisie internetowym dobreprogramy.pl informacje na temat programu Oracle VM VirtualBox. VirtualBox to oprogramowanie

Bardziej szczegółowo

Instrukcja instalacji i konfiguracji bazy danych SQL SERVER 2008 EXPRESS R2. Instrukcja tworzenia bazy danych dla programu AUTOSAT 3. wersja 0.0.

Instrukcja instalacji i konfiguracji bazy danych SQL SERVER 2008 EXPRESS R2. Instrukcja tworzenia bazy danych dla programu AUTOSAT 3. wersja 0.0. Instrukcja instalacji i konfiguracji bazy danych SQL SERVER 2008 EXPRESS R2 Instrukcja tworzenia bazy danych dla programu AUTOSAT 3 wersja 0.0.4 2z12 1. Wymagania systemowe. Przed rozpoczęciem instalacji

Bardziej szczegółowo

Spis treści. Opis urządzenia. Pierwsze użycie

Spis treści. Opis urządzenia. Pierwsze użycie Spis treści Opis urządzenia... 1 Pierwsze użycie... 1 Podstawowa obsługa urządzenia... 2 Opis diod LED... 2 Przygotowania do odczytu danych z urządzenia... 2 Proces instalacji... 3 Zmiana domyślnego sterownika

Bardziej szczegółowo

Spis treści. FAQ: /PL Data: 30/06/2015. Instalacja polskiej wersji LOGO! Soft Comfort. 1 Pobranie pliku 2

Spis treści. FAQ: /PL Data: 30/06/2015. Instalacja polskiej wersji LOGO! Soft Comfort. 1 Pobranie pliku 2 Spis treści 1 Pobranie pliku 2 2 Konfiguracja ustawień w LOGO! Soft Comfort..... 5 Doradztwo techniczne: simatic.pl@siemens.com 1 1 Pobranie pliku Instalację aktualizacji należy rozpocząć od ściągnięcia

Bardziej szczegółowo

Program RMUA. Instrukcja konfiguracji i pracy w programie. (Wersja 2)

Program RMUA. Instrukcja konfiguracji i pracy w programie. (Wersja 2) Program RMUA Instrukcja konfiguracji i pracy w programie (Wersja 2) 1 Wstęp Program RMUA powstał w związku z obowiązkiem przekazywania ubezpieczonym informacji rocznej zwanej wcześniej RMUA. Aplikacja

Bardziej szczegółowo

Instrukcja programowania płytek edycji 2014

Instrukcja programowania płytek edycji 2014 Instrukcja programowania płytek edycji 2014 Spis treści 1. Opis płytki procesorowej (sumo_base_5)...1 1.1. Podstawowe elementy płytki...2 1.2. Pozostałe elementy płytki...3 2. Opis płytki sterującej napędami

Bardziej szczegółowo

Programowanie sterowników B&R

Programowanie sterowników B&R POLITECHNIKA POZNAŃSKA Programowanie sterowników B&R Instrukcja nr 2 Język Structured Text ST Arkadiusz Kubacki 2015-10-01 1. Tworzenie nowego projektu w Automation Studio Po uruchomieniu programu Automation

Bardziej szczegółowo

Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort:

Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort: Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort: - automatyczna kopia zapasowa - kopia zapasowa / przywracanie z użyciem karty pamięci - kopia zapasowa / przywracanie z użyciem programu

Bardziej szczegółowo

BEZPRZEWODOWA KARTA SIECIOWA WL-8313 / WL-8314

BEZPRZEWODOWA KARTA SIECIOWA WL-8313 / WL-8314 BEZPRZEWODOWA KARTA SIECIOWA WL-8313 / WL-8314 WL-8313-8314 10.2005 >>>Dane techniczne. Produkt Bezprzewodowa karta sieciowa PCI 54 Mbps. Model WL-8313 / WL-8314 Interfejs zgodny z PCI 2.2 Tryby pracy

Bardziej szczegółowo

Programator procesorów rodziny AVR AVR-T910

Programator procesorów rodziny AVR AVR-T910 Programator procesorów rodziny AVR AVR-T910 Instrukcja obsługi Opis urządzenia AVR-T910 jest urządzeniem przeznaczonym do programowania mikrokontrolerów rodziny AVR firmy ATMEL. Programator podłączany

Bardziej szczegółowo

Dokumentacja techniczna

Dokumentacja techniczna Typ dokumentu: Dokumentacja techniczna Temat: Instalacja oprogramowania Data wykonania: Czerwiec 2015 1 Spis treści 1 Instalacja oprogramowania 3 1.1 Instalacja Ekspresowa 4 1.1.1 Instalacja na jednym

Bardziej szczegółowo

INFORMATOR TECHNICZNY WONDERWARE

INFORMATOR TECHNICZNY WONDERWARE Informator techniczny nr 152 24-03-2015 INFORMATOR TECHNICZNY WONDERWARE Instalacja oprogramowania Platforma Systemowa Wonderware 2014 R2 UWAGA! Przygotowując system operacyjny Windows na potrzeby oprogramowania

Bardziej szczegółowo

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie)

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) UWAGA Podstawowym wymaganiem dla uruchomienia modemu ED77 jest komputer klasy PC z portem USB 1.1 Instalacja

Bardziej szczegółowo

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CP6601 Sterownik CP6601 należy do grupy urządzeń określanych jako komputery przemysłowe (Industrial

Bardziej szczegółowo

Przewodnik szybkiej instalacji

Przewodnik szybkiej instalacji Przewodnik szybkiej instalacji TL-PS110U Pojedynczy Serwer Wydruku USB2.0 Fast Ethernet TL-PS110P Pojedynczy Serwer Wydruku z portem równoległym Fast Ethernet Rev:1.0.0 7106500679 V1.0 A Przed rozpoczęciem,

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I POŁĄCZENIA Z INTERNETEM NA WINDOWS 7 DLA AnyDATA ADU-510L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I POŁĄCZENIA Z INTERNETEM NA WINDOWS 7 DLA AnyDATA ADU-510L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I POŁĄCZENIA Z INTERNETEM NA WINDOWS 7 DLA AnyDATA ADU-510L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej instalacji.

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

Polska wersja pakietu raportowego ActiveFactory 9.1 wymaga polskiego systemu operacyjnego Windows 2000 / Windows XP / Windows 2003.

Polska wersja pakietu raportowego ActiveFactory 9.1 wymaga polskiego systemu operacyjnego Windows 2000 / Windows XP / Windows 2003. Informator Techniczny 87 14-12-2005 INFORMATOR TECHNICZNY WONDERWARE Instalacja ActiveFactory 9.1 PL Polska wersja pakietu raportowego ActiveFactory 9.1 wymaga polskiego systemu operacyjnego Windows 2000

Bardziej szczegółowo