Bezpieczeństwo informacji oparte o kryptografię kwantową

Wielkość: px
Rozpocząć pokaz od strony:

Download "Bezpieczeństwo informacji oparte o kryptografię kwantową"

Transkrypt

1 WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja nr 1 Adam Ziębiński Dąbrowa Górnicza, 2010

2 INSTRUKCJA DO LABORATORIUM Z PRZEDMIOTU: Bezpieczeństwo informacji oparte o kryptografię kwantową I. Nr instrukcji: 1 Rok studiów.../ semestr... II. Temat ćwiczenia: Obsługa edytora schematu - symulator ModelSim III. Cel ćwiczenia: Głównym celem laboratorium jest zapoznanie studentów z projektowaniem układów cyfrowych z wykorzystaniem układów reprogramowalnych z zastosowaniem aplikacji Xilinx ISE Foundation. IV. Treść laboratorium: Obsługa edytora schematu w aplikacji Xilinx ISE Foundation oraz zapoznanie się z symulatorem w aplikacji ModelSim. Na zajęciach studenci zajmą się: wykonaniem prostego projektu układu cyfrowego w edytorze schematu z wykorzystaniem dostępnych elementów bibliotecznych, symulacją układu, budową elementu bibliotecznego, podłączeniem projektu układu cyfrowego do wejść wyjść układu programowalnego, implementacją projektu dla określonego układu programowalnego. V. Literatura i narzędzia: Literatura podstawowa: Grzywak A, Ziębiński A.: Budowa i projektowanie systemów komputerowych. Skrypt Politechniki Śląskiej Gliwice Łuba T., Zbierzchowski B., Jasiński K.: Programowalne moduły logiczne w syntezie układów cyfrowych, WKŁ Warszawa 1992 Łuba T., Zbierzchowski B.: Komputerowe projektowanie układów cyfrowych WKŁ Warszawa 2000 Łuba T., Zbierzchowski B., Jasiński K.: Specjalizowane układy cyfrowe w strukturach PLD i FPGA WKŁ Warszawa Literatura uzupełniająca: Materiały w przygotowanej maszynie wirtualnej WSBXPXilinx o C:\Xilinx\FPGA_BTC\Ksiazki VHDL-Cookbook.pdf vhdlref_card.pdf Programmable Logic Design Quick Start Handbook - pldqsh.pdf o C:\Xilinx\FPGA_BTC\Dokumentacje Dokumentacja systemu uruchomieniowego ZL6PLD Narzędzia: Przygotowana maszyna wirtualna WSBXPXilinx z zainstalowanym oprogramowaniem CAD Xilinx ISE Design Suite 11 o University ISE Foundation with ISE Simulator o University System Generator + AccelDSP o University Embedded Development Kit (EDK) o University ChipScope Pro Tool ModelSim XE Systemy uruchomieniowe XUPV505-LX110T Xilinx University Program XUPV5-LX110T Development System ZL6PLD - zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx VI. Wymagania: Przed przystąpieniem do realizacji tego ćwiczenia student powinien zapoznać się z podstawowymi zagadnieniami projektowania układów cyfrowych. VII. Zadania do wykonania: Zaprojektować 4 bitowy rejestr przesuwny z wykorzystaniem przerzutników. VIII. Zadania szczegółowe: Zaprojektować 4 bitowy rejestr przesuwny z wykorzystaniem aplikacji Xilinx ISE. Wykonać symulację elementu w programie ModelSim. Wykonać implementację projektu i zaprogramować układ FPGA. 1

3 IX. Procedura wykonania zadania laboratoryjnego: Zajęcia rozpoczynają się od uruchomienia aplikacji Xilinx ISE Design Suite 11 - ISE - Project Navigator. Następnie należy otworzyć projekt z katalogu C:\Xilinx\Projekty\BTCstart_sch\BTCstart_sch.xise i otworzyć nowy schemat File New Schematic oraz zapisać go SaveAs ShiftReg4 Projektowanie W polu Symbol Name Filter można wpisać nazwę poszukiwanego elementu, np. dla przerzutnika D wpisujemy fd. Wybrany element należy zaznaczyć i przesunąć do obszaru projektowania. W środkowym panelu ikon znajdują się narzędzia do projektowania, dostępne również w menu Add. Przykładowy projekt rejestru przesuwnego przedstawiono na rysunku 1. Rys.1 Przykładowy projekt rejestru przesuwnego Następnie należy dodać schemat do projektu Project - Add Source ShiftReg4.sch W kodzie projektu głównego test-cplx dodajemy deklarację komponentu ShiftReg4, co pozwoli nam go wykorzystać w projekcie. component ShiftReg4 port ( CLK, D: in std_logic; -- zegar, przesowana wartosc Q1, Q2, Q3, Q4: out std_logic -- zawartosc rejestru ); end component ShiftReg4; W projekcie głównym możemy też od razu wykonać mapowanie komponentu ShiftReg4 i podłączyć we/wy do odpowiednich sygnałów projektu. ShiftReg4_kpl: ShiftReg4 port map ( CLK => presc2(15) -- lub presc_o, -- dobrac wartosc zegara zegar D => not s4, -- przycisk 4 Q1 => R1(0), -- bierząca wartość REJESTRU PRZESOWNEGO do R1 Q2 => R1(1), Q3 => R1(2), Q4 => R1(3) ); 2

4 Po tej operacji schemat ShiftReg4 będzie zintegrowany z projektem głównym i będzie podlegał syntezie. Symulacja Aby przejść do symulacji należy przełączyć projekt z trybu Implementacji do Symulacji, uruchamiamy ModelSim (rys.2) i wykonujemy symulację elementu ShiftReg4 (Rys.2). Rys.2 Uruchamianie programu ModelSim dla elementu ShiftReg4 Po uruchomieniu programu ModelSim ustawiamy wymuszenia na sygnałach wejściowych. Na wejściu clk ustawiamy generator zegara (rys.3), natomiast na wejściu D ustawiamy wartość 1 (rys.4). 3

5 Rys.3 Ustawianie generatora zegara na wejściu clk Rys.4 Ustawianie wartości 1 na wejściu D Następnie uruchamiamy kolejne kroki symulacji i obserwujemy symulowany element (rys.5). 4

6 Rys.5 Symulacja elementu ShiftReg4 w ModelSim Implementacja Rozpoczęcie procesu implementacji rozpoczyna się od kliknięcia prawym przyciskiem myszy w zakładce Process w opcję Generate programing File Rerun All. Wyniki z implementacji projektu można sprawdzić w oknie Design Summary (rys.6). Programowanie Rys.6 Implementacja projektu Rozpoczęcie procesu programowania układu FPGA rozpoczyna się od kliknięcia prawym przyciskiem myszy w zakładce Process opcję Cofigure Target Devices Rerun All. Po uruchomieniu programu Impact wyszukany zostanie podłączony do komputera poprzez programator układ FPGA. Następnie klikając na układzie prawym przyciskiem możliwe będzie jego zaprogramowanie i sprawdzenie działania projektu na systemie uruchomieniowym (rys.7). 5

7 Rys.7 Programowanie układu FPGA Sprawozdanie Sprawozdanie powinno zawierać schemat i opis projektu wraz z wynikami symulacji wykonanej w aplikacji w ModelSim. 6

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

WebPack nadal bezpłatnie, ale z licencją

WebPack nadal bezpłatnie, ale z licencją Narzędzia konstruktora WebPack nadal bezpłatnie, ale z licencją Xilinx zmienił zasady udostępniania bezpłatnego oprogramowania Dodatkowe materiały na CD Czytelnicy zainteresowani realizacją projektów na

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat: Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych SPIS TREŚCI 1. Wymagania...3

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium komputerowych systemów pomiarowych Ćwiczenie 8 Wykorzystanie modułów FieldPoint w komputerowych systemach pomiarowych 1. Wprowadzenie

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Laboratorium - Użycie narzędzia Przywracanie systemu w systemie Windows 7

Laboratorium - Użycie narzędzia Przywracanie systemu w systemie Windows 7 5.0 5.5.1.11 Laboratorium - Użycie narzędzia Przywracanie systemu w systemie Windows 7 Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym ćwiczeniu utworzysz punkt przywracania i przywrócisz swój

Bardziej szczegółowo

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 2. Analiza wielkosygnałowa Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse).

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

III. Przebieg ćwiczenia. 1. Generowanie i wizualizacja przebiegów oraz wyznaczanie ich podstawowych parametrów

III. Przebieg ćwiczenia. 1. Generowanie i wizualizacja przebiegów oraz wyznaczanie ich podstawowych parametrów POLITECHNIKA RZESZOWSKA KATEDRA METROLOGII I SYSTEMÓW DIAGNOSTYCZNYCH LABORATORIUM GRAFICZNE ŚRODOWISKA PROGRAMOWANIA S.P. WPROWADZENIE DO UŻYTKOWANIA ŚRODOWISKA VEE (1) I. Cel ćwiczenia Celem ćwiczenia

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt Wprowadzenie do programu Eagle Cel i zadania: Celem ćwiczenia jest zapoznanie studentów z programem Eagle (v. 7.7.0) wykorzystywanym do rysowania

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia nowego projektu (poniżej są utworzone projekty) Po kliknięciu

Bardziej szczegółowo

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie Laboratorium Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie programowalnym FPGA. 1. Zasada działania algorytmów Algorytm Vernam a wykorzystuje funkcję

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

5.6.2 Laboratorium: Punkty przywracania

5.6.2 Laboratorium: Punkty przywracania 5.6.2 Laboratorium: Punkty przywracania Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym ćwiczeniu stworzysz punkt przywracania i przywrócisz swój komputer do tego punktu. Zalecany sprzęt Do tego

Bardziej szczegółowo

Wprowadzenie do programu MultiSIM

Wprowadzenie do programu MultiSIM Ćw. 1 Wprowadzenie do programu MultiSIM 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z programem MultiSIM służącym do symulacji działania układów elektronicznych. Jednocześnie zbadane zostaną podstawowe

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

Laboratorium - Użycie narzędzia Przywracanie systemu w systemie Windows XP

Laboratorium - Użycie narzędzia Przywracanie systemu w systemie Windows XP 5.0 5.5.1.13 Laboratorium - Użycie narzędzia Przywracanie systemu w systemie Windows XP Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym ćwiczeniu utworzysz punkt przywracania i przywrócisz swój

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Ćw. 0 Wprowadzenie do programu MultiSIM

Ćw. 0 Wprowadzenie do programu MultiSIM Ćw. 0 Wprowadzenie do programu MultiSIM 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z programem MultiSIM słuŝącym do symulacji działania układów elektronicznych. Jednocześnie zbadane zostaną podstawowe

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

VComNet Podręcznik użytkownika. VComNet. Podręcznik użytkownika Wstęp

VComNet Podręcznik użytkownika. VComNet. Podręcznik użytkownika Wstęp VComNet Podręcznik użytkownika Wstęp VComNet przeznaczony jest do wdrażania aplikacji komunikacyjnych uruchomionych na komputerze PC z systemem Windows z urządzeniami połączonymi poprzez RS485 (RS422/RS232)

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika iałostocka Wydział Elektryczny Katedra utomatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIK YFOW 2 Z1400 028 Ćwiczenie Nr 5 LIZNIKI WÓKOWE I ZIESIĘNE Opracował:

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej. Laboratorium cyfrowej techniki pomiarowej. Ćwiczenie 4

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej. Laboratorium cyfrowej techniki pomiarowej. Ćwiczenie 4 Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium cyfrowej techniki pomiarowej Ćwiczenie 4 Zapis danych do pliku w programie LabVIEW 1. Zapis i odczyt sygnałów pomiarowych Do zapisu

Bardziej szczegółowo

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 11 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do wykonania wszystkich operacji związanych z przygotowaniem

Bardziej szczegółowo

Scalone układy programowalne FPGA.

Scalone układy programowalne FPGA. Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania

Bardziej szczegółowo

Podstawy programowania w środowisku Step 7

Podstawy programowania w środowisku Step 7 GRUPA MT Temat i Autor Podstawy programowania w środowisku Step 7 Krzysztof Bodzek, Arkadiusz Domoracki CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration Automation Portal 2. Konfiguracja sterownika

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej. Laboratorium przyrządów wirtualnych. Ćwiczenie 3

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej. Laboratorium przyrządów wirtualnych. Ćwiczenie 3 Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium przyrządów wirtualnych Ćwiczenie 3 Wykorzystanie technologii ActiveX do rejestracji danych z przyrządów wirtualnych 1. Wstęp Do

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CP6601 Sterownik CP6601 należy do grupy urządzeń określanych jako komputery przemysłowe (Industrial

Bardziej szczegółowo

W dowolnej przeglądarce internetowej należy wpisać poniższy adres: https://sip.powiat.chelm.pl/imap/

W dowolnej przeglądarce internetowej należy wpisać poniższy adres: https://sip.powiat.chelm.pl/imap/ Kurs 2 mapa turysty Uruchomienie aplikacji. W dowolnej przeglądarce internetowej należy wpisać poniższy adres: https://sip.powiat.chelm.pl/imap/ Zawartość Modułu - Mapa turysty Moduł w części publicznej

Bardziej szczegółowo

Komputerowe projektowanie układów ćwiczenia uzupełniające z wykorzystaniem Multisim/myDAQ. Katedra Mikroelektroniki i Technik Informatycznych PŁ

Komputerowe projektowanie układów ćwiczenia uzupełniające z wykorzystaniem Multisim/myDAQ. Katedra Mikroelektroniki i Technik Informatycznych PŁ Katedra Mikroelektroniki i Technik Informatycznych PŁ Laboratorium Komputerowe projektowanie układów Ćwiczenia uzupełniające z wykorzystaniem oprogramowania Multisim oraz sprzętu mydaq National Instruments

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 9 REALIZACJA

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWANIA

INSTRUKCJA UŻYTKOWANIA INSTRUKCJA UŻYTKOWANIA KOMPILATORA UKŁADÓW CYFROWYCH ACTIVE CAD Opis układów przy pomocy edytora schematów Opracował dr inż. Piotr Kawalec Warszawa, 2000 rok SPIS TREŚCI str. 1. WSTĘP... 3 2. TWORZENIE

Bardziej szczegółowo

Laboratorium - Użycie narzędzia Przywracanie systemu w Windows Vista

Laboratorium - Użycie narzędzia Przywracanie systemu w Windows Vista 5.0 5.5.1.12 Laboratorium - Użycie narzędzia Przywracanie systemu w Windows Vista Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym ćwiczeniu utworzysz punkt przywracania a następnie przywrócisz

Bardziej szczegółowo

Laboratorium - Instalacja Virtual PC

Laboratorium - Instalacja Virtual PC 5.0 5.4.1.4 Laboratorium - Instalacja Virtual PC Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium zainstalujesz i skonfigurujesz Tryb XP w Windows 7. Następnie uruchomisz podstawowe

Bardziej szczegółowo

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200 Za pomocą oprogramowania PC Access oraz programu Microsoft Excel moŝliwa jest prosta wizualizacja programów wykonywanych na sterowniku SIMATIC S7-200. PC Access umoŝliwia podgląd wartości zmiennych oraz

Bardziej szczegółowo

Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows 7

Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows 7 5.0 5.3.3.5 Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows 7 Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium, będziesz korzystać z narzędzi administracyjnych

Bardziej szczegółowo

Programowanie obiektowe

Programowanie obiektowe Laboratorium z przedmiotu Programowanie obiektowe - zestaw 07 Cel zajęć. Celem zajęć jest zapoznanie z praktycznymi aspektami tworzenia aplikacji okienkowych w C#. Wprowadzenie teoretyczne. Rozważana w

Bardziej szczegółowo

Instrukcja wymiany certyfikatów przeznaczonych do komunikacji aplikacji Komornik SQL z systemem ZUS

Instrukcja wymiany certyfikatów przeznaczonych do komunikacji aplikacji Komornik SQL z systemem ZUS Instrukcja wymiany certyfikatów przeznaczonych do komunikacji aplikacji Komornik SQL z systemem ZUS Spis treści Wstęp... 2 Usunięcie nieaktualnego certyfikatu PROD-NPI z systemu operacyjnego komputera...

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej

Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Politechnika Białostocka Wydział Elektryczny Katedra Telekomunikacji i Aparatury Elektronicznej Instrukcja do zajęć laboratoryjnych z przedmiotu: Przetwarzanie Sygnałów Kod: TS1C400027 Temat ćwiczenia:

Bardziej szczegółowo

Instrukcja użytkownika aplikacji npodpis r.

Instrukcja użytkownika aplikacji npodpis r. 21.03.2017 r. Spis treści Warunki wstępne...3 Rodzaje urządzeń...3 Instalacja i obsługa podpisów przelewów...4 Autoryzacja pozostałych czynności...13 Instalacja certyfikatów w systemie...16 2 Warunki wstępne

Bardziej szczegółowo

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000 Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX1000 Sterownik CX1000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Scenariusz lekcji. Scenariusz lekcji 1 TEMAT LEKCJI: 2 CELE LEKCJI: 2.1 Wiadomości: 2.2 Umiejętności: 3 METODY NAUCZANIA: 4 ŚRODKI DYDAKTYCZNE:

Scenariusz lekcji. Scenariusz lekcji 1 TEMAT LEKCJI: 2 CELE LEKCJI: 2.1 Wiadomości: 2.2 Umiejętności: 3 METODY NAUCZANIA: 4 ŚRODKI DYDAKTYCZNE: Praca z projektemi w MS VB.NET Scenariusz lekcji Scenariusz lekcji 1 TEMAT LEKCJI: Praca z projektami w MS VB.NET. 2 CELE LEKCJI: 2.1 Wiadomości: Uczeń potrafi: podać definicje podstawowych pojęć związanych

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Instalowanie VHOPE i plików biblioteki VHOPE

Instalowanie VHOPE i plików biblioteki VHOPE Instalowanie VHOPE i plików biblioteki VHOPE Krok 1. Zainstaluj aplikację VHOPE Przed rozpoczęciem korzystania z materiałów prezentacyjnych znajdujących się na tym dysku USB należy zainstalować na komputerze

Bardziej szczegółowo

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 10.1 a 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do pracy na wszystkich etapach przygotowania

Bardziej szczegółowo

Dla klientów używających systemu Windows do połączeń sieciowych

Dla klientów używających systemu Windows do połączeń sieciowych Dla klientów używających systemu Windows do połączeń sieciowych W przypadku użycia innego systemu operacyjnego lub architektury dla serwera i klienta połączenie może nie działać prawidłowo, jeśli użyte

Bardziej szczegółowo

Sterownik kompaktowy Theben PHARAO II

Sterownik kompaktowy Theben PHARAO II Wydział Elektroniki Politechniki Wrocławskiej Laboratorium Automatyki Budynkowej Sterownik kompaktowy Theben PHARAO II 1. Wstęp Pherao II jest niewielkim sterownikiem kompaktowym, który charakteryzuje

Bardziej szczegółowo

Instrukcja aktualizacji oprogramowania modemu Huawei E182E

Instrukcja aktualizacji oprogramowania modemu Huawei E182E Niniejsza instrukcja pozwoli Ci sprawnie i bez ryzyka zaktualizować oprogramowanie Twojego modemu. Wszelkie odstępstwa od opisanych poniżej czynności mogą doprowadzić do trwałego uszkodzenia sprzętu. PAMIĘTAJ!

Bardziej szczegółowo

Ćwiczenie SIB-C2. System automatyki budynkowej standardu KNX - funkcje podstawowe wej/wyj, funkcje czasowe, załączanie/wyłączanie, topologia sieci

Ćwiczenie SIB-C2. System automatyki budynkowej standardu KNX - funkcje podstawowe wej/wyj, funkcje czasowe, załączanie/wyłączanie, topologia sieci Ćwiczenie SIB-C2. System automatyki budynkowej standardu KNX - funkcje podstawowe wej/wyj, funkcje czasowe, załączanie/wyłączanie, topologia SYSTEMY INTELIGENTNYCH BUDYNKÓW KATEDRA ENERGOELEKTRONIKI I

Bardziej szczegółowo

Cel ćwiczenia: Nabycie umiejętności poruszania się w przestrzeni programu Kuka.Sim Pro oraz zapoznanie się z biblioteką gotowych modeli programu.

Cel ćwiczenia: Nabycie umiejętności poruszania się w przestrzeni programu Kuka.Sim Pro oraz zapoznanie się z biblioteką gotowych modeli programu. Roboty Przemysłowe - Programowanie robotów off-line 1 Oprogramowanie Kuka.SimPro Stworzenie stanowiska paletyzacji z elementów dostępnych w bibliotece Cel ćwiczenia: Nabycie umiejętności poruszania się

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Wer

Quartus. Rafał Walkowiak IIn PP Wer Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Ćwiczenie Nr 12 PROJEKTOWANIE WYBRANYCH

Bardziej szczegółowo

Wstęp do instalacji oprogramowania CAD Projekt w systemach operacyjnych Windows Vista, Windows 7, Windows 8

Wstęp do instalacji oprogramowania CAD Projekt w systemach operacyjnych Windows Vista, Windows 7, Windows 8 Wstęp do instalacji oprogramowania CAD Projekt w systemach operacyjnych Windows Vista, Windows 7, Windows 8 Przed przystąpieniem do instalacji naszych programów do projektowania wnętrz w systemie Windows

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (2h) Wprowadzenie do oprogramowanie EDA wspomagającego syntezę układów cyfrowych (Quartus II) Instrukcja do

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo