Sterowanie prac plotera w układach logiki programowalnej

Wielkość: px
Rozpocząć pokaz od strony:

Download "Sterowanie prac plotera w układach logiki programowalnej"

Transkrypt

1 LABORATORIUM TECHNIKI CYFROWEJ Sterowanie prac plotera w układach logiki programowalnej Opracowali: mgr in. Rafał Sokół dr in. Krystyna Maria Noga Akademia Morska Wydział Elektryczny Katedra Automatyki Okrtowej Gdynia, lipiec 2009

2 Celem wiczenia jest poznanie zasad sterowania prac plotera z wykorzystaniem układów logiki programowalnej. Stanowisko ma na celu przedstawienie zasad działania urzdze pracujcych w płaszczynie ruchu XY. Przykładami takich urzdze s plotery oraz frezarki cnc. Do sterowania ploterem zostan wykorzystane układy sekwencyjne zaimplementowane w układach logiki reprogramowalnej. Omawiane stanowisko zostało przystosowane do pracy z układem programowalnym CPLD EPM7128S firmy ALTERA. Schemat blokowy stanowiska laboratoryjnego został przedstawiony na rysunku 1. Rys. 1. Schemat blokowy stanowiska laboratoryjnego Urzdzenie posiada wejcia sterujce X0-X3, Y0-Y3 i PEN oraz wyjcie CLK. Wej- cie PEN jest wyprowadzone na oddzielnym przewodzie (na złczce jest napis PEN). Po podaniu na niego logicznej jedynki, czyli 5V, nastpuje opuszczenie pisaka, a poprzez podanie logicznego zera nastpuje podniesienie pisaka. Na panelu znajduje si równie rczny zadajnik sygnału PEN. Wcinicie odpowiada logicznej 1. Sygnały z panelu i z przewodu sumuj si logicznie. Po ustawieniu wej X0-X3 i Y0-Y3 nastpuje przesunicie pisaka w połoenie zgodne ze współrzdnymi przedstawiony na rysunku 2. Pisak przesuwa si do danego punktu po linii prostej. W celu rysowania linii naley pisak najpierw opuci (ustawi PEN=1), a potem okreli współrzdne nowego połoenia Jeeli natomiast chcemy tylko ustawi pisak w danym punkcie to trzeba najpierw pisak podnie.

3 Rys. 2. Współrzdne okrelajce połoenie pisaka Impuls zegarowy na wyjciu CLK jest generowany do układu programowalnego, np. CPLD EPM7128S firmy Altery, dopiero wówczas, gdy pisak osignie zadane połoenie. Wykorzystanie we własnych projektach wewntrznego sygnału zegarowego CLK zapewnia płynne ruchy pisaka bez koniecznoci oczekiwania na zewntrzne impulsy sterujce licznikiem. Dodatkowy własny zegar plotera generuje impulsy w momencie, gdy pisak osignie okrelony punkt w układzie współrzdnych. Przyjto takie rozwizanie, gdy dla okrelonej stałej czstotliwoci generowania impulsów, np. przy rysowaniu krótkich linii, pisak stałby w miejscu i długo czekałby na nastpn sekwencj. Natomiast podczas rysowania długich linii, w czasie trwania ustalonej sekwencji na wyjciu licznika, pisak nie zdyłby osign celu. Dlatego ploter sam taktuje układ sekwencyjny (licznik) i sam wykrywa kiedy zmieni si sekwencja. Gdy w projekcie sekwencja zostanie zablokowana, np. dodatkowym przełcznikiem ustawiajcym, to ploter bdzie podawał impulsy zegarowe do układu CPLD tak długo, a w kocu sekwencja zostanie zwolniona i si zmieni. Wówczas cykl si powtarza, pisak si porusza, a impuls zegarowy zostanie wygenerowany po osigniciu okrelonego punktu. Przykład - Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił liter P. Przykładowy kształt litery P został przedstawiony na rysunku 3. Do budowy układu naley wykorzysta multipleksery, liczniki i dowolne bramki.

4 Rys. 3. Przykładowy kształt litery P W tabeli 1 przedstawiono wartoci współrzdnych X i Y okrelajcych kształt litery P. Pisak bdzie krelił wybran liter P w takt impulsów prostoktnych podawanych na wejcie zegarowe licznika 74193, przy czym wyjcia Qd, Qc, Qb, Qa tego licznika okrelaj biece połoenie pisaka. Z tabeli 1 wynika, e pisak w czasie realizacji zadania bdzie si znajdowa w 7 równych punktach, oczywicie o okrelonych współrzdnych. Dlatego do budowy układu sterujcego prac pisaka zostan wykorzystane multipleksery o 3 wejciach adresowych. Tabela 1 indeks Qd Qc Qb Qa Y3 Y2 Y1 Y0 X3 X2 X1 X

5 Z tabeli 1 wynika, e współrzdne Y3, X3 oraz X2 przyjmuj zawsze wartoci odpowiadajce zeru logicznemu. Dlatego te w celu realizacji danego zadania niezbdne jest okrelenie pozostałych współrzdnych, tj. Y2, Y1, Y0, X1 i X0. W celu realizacji tych sygnałów na wejcia Di multiplekserów, przy czym i= 0, 1,..., 7, podajemy sygnały zgodnie z wartociami przedstawionymi w tabeli 2. Oczywicie obowizuje zaleno D f ( Q, Q, Q ) i =. Tabela 2 D0 D1 D2 D3 D4 D5 D6 D7 Y X Y X Y X X X X X d b a Przykład zrealizowanego układu sterujcego prac pisaka, z wykorzystaniem multiplekserów, został przedstawiony na rysunku 4. W celu zaprogramowania układu CPLD i uruchomieniu plotera naley sygnały sterujce X0-X3, Y0-Y3 przyporzdkowa odpowiednio do pinów (kocówek) Y3-16, Y2-17. Y1-18, Y0-21, X3-20, X2-22, X1-24, X0-28 zestawu uruchomieniowego dostpnego w laboratorium TC. Sygnały zegarowe sterujce licznikiem podajemy na pin 83 zestawu uruchomieniowego, natomiast sygnał start na pin 34. Wykorzystany licznik zlicza do 15, a poniewa do sterowania wykorzystano tylko trzy bity najmłodsze, wic zaprojektowany układ bdzie cyklicznie rysowa liter P. W omawianym przykładzie nie trzeba podnosi pisaka, gdy rysowanie zaczyna si od punktu zerowego i pisak chodzi po swoich ladach.

6 Rys. 4. Schemat układu sterujcego prac pisaka z wykorzystaniem multipleksera Podobne zadanie mona równie zrealizowa wykorzystujc demultiplekser o aktywnych zerach na wyjciu oraz dowolne bramki. Zakładamy, e na wejcia adresowe demultipleksera o wagach 2 2, 2 1, 2 0 podajemy odpowiednio wyjcia licznika Qc, Qb, Qa. Wówczas współrzdne okrelajce połoenie pisaka mona zapisa przy pomocy nastpujcych równa logicznych Y Y ( Q, Q, Q ) = ( 0, 5, 6) 2 d b a ( Q, Q, Q ) = ( 0, 3, 4) 1 d b a

7 Y ( Q Q, Q ) = ( 3, 5, 6) o X X, d b a ( Q, Q, Q ) = ( 0, 1, 6) 1 d b a ( Q, Q, Q ) = ( 3, 4) 0 d b a Schemat pozostawiamy do samodzielnego wykonania przez studentów. W celu zatrzymania pisaka po narysowaniu litery P naley schemat przestawiony na rysunku 4 rozbudowa o bramk AND i NOT, schemat taki został przedstawiony na rysunku 5. Rys. 5. Rozbudowany schemat układu sterujcego prac pisaka W samodzielnie opracowywanych prze studentów projektach mona uy wejcia sygnału PEN, który słuy do podnoszenia (PEN=0) i opuszczania pisaka (PEN=1). Przy korzystaniu z tego wejcia naley zamocowa pisak ok. 2mm nad kartk i przyciskiem PEN umieszczonym na panelu urzdzenia naley sprawdzi, czy pisak zostaje prawidłowo opuszczany na kartk. Przykład - Naley zaprojektowa sekwencj ruchów pisaka tak, aby krelił lini z podnoszeniem pisaka. Przykładowy kształt krelonych linii został przedstawiony na rysunku 6.

8 Rys. 6. Przykładowy kształt krelonych linii W tabeli 3 przedstawiono wartoci współrzdnych X i Y okrelajcych kolejne połoenia pisaka. Tabela 3 indeks Qd Qc Qb Qa PEN Y3 Y2 Y1 Y0 X3 X2 X1 X Schemat układu sterujcego prac pisaka z wykorzystaniem demultipleksera został przedstawiony na rysunku 7. Prawidłowo schematu naley wykaza samodzielnie, przykładowo poprzez zapis wartoci logicznych podawanych na poszczególne wejcia demultipleksera.

9 Rys. 7. Schemat układu sterujcego prac pisaka z wykorzystaniem demultipleksera Przygotowanie do wiczenia Kady ze studentów samodzielnie przygotowuje wybrany projekt. Zadania do samodzielnego przygotowania w domu (kady student wybiera jeden projekt) 1. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił liter A 2. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił tekst AM Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił tekst TC Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił tekst Gdynia 5. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił rysunek złoony z 3 prostoktów 6. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił dowolny tekst zło- ony z minimum 4 liter 7. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił dowolny rysunek złoony minimum z 2 elementów 8. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił dowolny rysunek złoony minimum z 3 elementów 9. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił tekst przedstawiajcy inicjały studenta 10. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił tekst przedstawiajcy biec dat

10 11. Naley zaprojektowa sekwencj ruchów pisaka tak, aby wykrelił tekst przedstawiajcy własn maksym Przebieg wiczenia W czasie zaj laboratoryjnych naley uruchomi przygotowany w domu projekt. Do sprawozdania naley dołczy wykrelony przez ploter rysunek.

Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE)

Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE) LABORATORIUM TECHNIKI CYFROWEJ Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE) Opracowali: dr in. Krystyna Noga mgr in. Rafał Sokół Akademia Morska Wydział

Bardziej szczegółowo

Blok funkcjonalny to specjalizowany układ cyfrowy przystosowany do wykonania jednej lub kilku okrelonych operacji przetwarzania sygnałów binarnych.

Blok funkcjonalny to specjalizowany układ cyfrowy przystosowany do wykonania jednej lub kilku okrelonych operacji przetwarzania sygnałów binarnych. Omawiane do tej pory układy logiczne to inaczej mówic układy cyfrowe konstruowane z bramek i przerzutników. I w zasadzie mona z nich zaprojektowa i zbudowa dowolny układ cyfrowy. Problem jednak ley w tym,

Bardziej szczegółowo

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego LABORATORIUM TECHNIKI CYFROWEJ Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego Opracowali: dr in. Krystyna Maria Noga mgr in. Rafał Sokół

Bardziej szczegółowo

Podstawowe obiekty AutoCAD-a

Podstawowe obiekty AutoCAD-a LINIA Podstawowe obiekty AutoCAD-a Zad1: Narysowa lini o pocztku w punkcie o współrzdnych (100, 50) i kocu w punkcie (200, 150) 1. Wybierz polecenie rysowania linii, np. poprzez kilknicie ikony. W wierszu

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

Przycisk pracy. Przycisk stopu/kasowanie

Przycisk pracy. Przycisk stopu/kasowanie RUN STOP/RST ELEMENT KLWAIARTURY PRZYCISK RUN PRZYCISK STOP/RST POTENCJOMETR min-max PRZEŁCZNIK NPN/PNP PRZEŁCZNIK 4-KIERUNKOWY FUNKCJA Przycisk pracy Przycisk stopu/kasowanie Czstotliwo Wybór Przycisk

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

LABORATORIUM TECHNIKI CYFROWEJ. Sterowanie modelem dwigu za pomoc układów programowalnych CPLD

LABORATORIUM TECHNIKI CYFROWEJ. Sterowanie modelem dwigu za pomoc układów programowalnych CPLD LABORATORIUM TECHNIKI CYFROWEJ Sterowanie modelem dwigu za pomoc układów programowalnych CPLD Opracowali: dr in. Krystyna Noga mgr in. Marcin Radwaski Akademia Morska Wydział Elektryczny Katedra Automatyki

Bardziej szczegółowo

Wstęp działanie i budowa nadajnika

Wstęp działanie i budowa nadajnika Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LABORATORIUM Teoria Automatów Temat ćwiczenia Górnik L.p. Imię i nazwisko Grupa ćwiczeniowa: Poniedziałek 8.000 Ocena Podpis 1. 2. 3. 4. Krzysztof

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Laboratorium Techniki Cyfrowej i Mikroprocesorowej Ćwiczenie IV Opracowano na podstawie

Bardziej szczegółowo

wiczenie 5 Woltomierz jednokanaowy

wiczenie 5 Woltomierz jednokanaowy wiczenie 5 Woltomierz jednokanaowy IMiO PW, LPTM, wiczenie 5, Woltomierz jednokanaowy -2- Celem wiczenia jest zapoznanie si# z programow% obsug% prostego przetwornika analogowo-cyfrowego na przykadzie

Bardziej szczegółowo

Laboratorium elektryczne. Falowniki i przekształtniki - I (E 14)

Laboratorium elektryczne. Falowniki i przekształtniki - I (E 14) POLITECHNIKA LSKA WYDZIAŁINYNIERII RODOWISKA I ENERGETYKI INSTYTUT MASZYN I URZDZE ENERGETYCZNYCH Laboratorium elektryczne Falowniki i przekształtniki - I (E 14) Opracował: mgr in. Janusz MDRYCH Zatwierdził:

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1.

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 PAMIĘCI SZEREGOWE EEPROM Ćwiczenie 3 Opracował: dr inŝ.

Bardziej szczegółowo

PROGRAMOWANIE STEROWNIKA FANUK (A 17)

PROGRAMOWANIE STEROWNIKA FANUK (A 17) POLITECHNIKA LSKA W GLIWICACH WYDZIAŁ INYNIERII RODOWISKA i ENERGETYKI INSTYTUT MASZYN i URZDZE ENERGETYCZNYCH PROGRAMOWANIE STEROWNIKA FANUK Laboratorium automatyki (A 17) Opracował: dr in. Jerzy Widenka

Bardziej szczegółowo

POLITECHNIKA POZNAŃSKA

POLITECHNIKA POZNAŃSKA POLITECHNIKA POZNAŃSKA INSTYTUT ELEKTROTECHNIKI I ELEKTRONIKI PRZEMYSŁOWEJ Zakład Elektrotechniki Teoretycznej i Stosowanej Laboratorium Podstaw Telekomunikacji Ćwiczenie nr 5 Rok akademicki: Wydział:

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Elementy pneumatyczne

Elementy pneumatyczne POLITECHNIKA LSKA W GLIWICACH WYDZIAŁ INYNIERII RODOWISKA i ENERGETYKI INSTYTUT MASZYN i URZDZE ENERGETYCZNYCH Elementy pneumatyczne Laboratorium automatyki (A 3) Opracował: dr in. Jacek Łyczko Sprawdził:

Bardziej szczegółowo

FUNKCJE UYTKOWNIKA. Rozbrajanie systemu pod przymusem [Kod przymusu] Blokowanie linii

FUNKCJE UYTKOWNIKA. Rozbrajanie systemu pod przymusem [Kod przymusu] Blokowanie linii Instrukcja uytkownika centrali Orbit-5;strona 1 FUNKCJE UYTKOWNIKA FUNKCJA PROCEDURA Uzbrajanie systemu [Kod uytkownika] + [ARM] Uzbrajanie w trybie W domu [Kod uytkownika] + [STAY] Rozbrajanie systemu

Bardziej szczegółowo

Statyczna próba skrcania

Statyczna próba skrcania Laboratorium z Wytrzymałoci Materiałów Statyczna próba skrcania Instrukcja uzupełniajca Opracował: Łukasz Blacha Politechnika Opolska Katedra Mechaniki i PKM Opole, 2011 2 Wprowadzenie Do celów wiczenia

Bardziej szczegółowo

Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1. Instrukcja obsługi

Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1. Instrukcja obsługi Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1 Instrukcja obsługi Parametry techniczne mikroprocesorowego regulatora temperatury RTSZ-2 Cyfrowy pomiar temperatury w zakresie od

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Projekt zespołowy. Część1: Projekt potokowej jednostki przetwarzającej przeznaczonej do realizacji algorytmu FFT. Rok akademicki 2008/2009

Projekt zespołowy. Część1: Projekt potokowej jednostki przetwarzającej przeznaczonej do realizacji algorytmu FFT. Rok akademicki 2008/2009 Projekt zespołowy Rok akademicki 2008/2009 Część1: Projekt potokowej jednostki przetwarzającej przeznaczonej do realizacji algorytmu FFT Kierunek studiów: Semestr: Grupa: Informatyka VII PKiSI 2 Wykonawca:

Bardziej szczegółowo

Sterownik programowalny LOGO

Sterownik programowalny LOGO Sterownik programowalny LOGO LOGO! to uniwersalny sterownik logiczny opracowany przez firm Siemens. LOGO! łczy w sobie nastpujce elementy i funkcje: elementy sterowania, panel sterowniczy i podwietlany

Bardziej szczegółowo

Errata do książki Multisim. Technika cyfrowa w przykładach.

Errata do książki Multisim. Technika cyfrowa w przykładach. . 3. 24 r. rrata do książki Multisim. Technika cyfrowa w przykładach.. str.5, źle jest zapisana postać funkcji wyjściowej równoważność (xclusive NOR, XNOR, NOR, XNOR), y 7 = a b + a b = a Ä b = a Å b 2.

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 ZEGAR CZASU RZECZYWISTEGO Ćwiczenie 4 Opracował: dr inŝ.

Bardziej szczegółowo

Multipro GbE. Testy RFC2544. Wszystko na jednej platformie

Multipro GbE. Testy RFC2544. Wszystko na jednej platformie Multipro GbE Testy RFC2544 Wszystko na jednej platformie Interlab Sp z o.o, ul.kosiarzy 37 paw.20, 02-953 Warszawa tel: (022) 840-81-70; fax: 022 651 83 71; mail: interlab@interlab.pl www.interlab.pl Wprowadzenie

Bardziej szczegółowo

Ćwiczenie Digital Works 003 Układy sekwencyjne i kombinacyjne

Ćwiczenie Digital Works 003 Układy sekwencyjne i kombinacyjne TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL Temat: Narzędzia: Digital Works pakiet

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

I Powiatowy Konkurs Matematyka, Fizyka i Informatyka w Technice Etap finałowy 10 kwietnia 2013 grupa elektryczno-elektroniczna

I Powiatowy Konkurs Matematyka, Fizyka i Informatyka w Technice Etap finałowy 10 kwietnia 2013 grupa elektryczno-elektroniczna I Powiatowy Konkurs Matematyka, Fizyka i Informatyka w Technice Etap finałowy 10 kwietnia 2013 grupa elektryczno-elektroniczna (imi i nazwisko uczestnika) (nazwa szkoły) Arkusz zawiera 6 zada. Zadania

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Instrukcja obsługi regulatora i wizualizacji pieca pokrocznego na Walcowni Drobnej P46 Strona 1 z 26

Instrukcja obsługi regulatora i wizualizacji pieca pokrocznego na Walcowni Drobnej P46 Strona 1 z 26 Strona 1 z 26 Spis treci 1. WSTP.... 2 2. PANEL OPERATORSKI PANELVIEW PLUS 700.... 3 3. URUCHOMIENIE PANELU OPERATORSKIEGO.... 5 4. OKNO GŁÓWNE.... 6 5. OKNO REGULACJI STREFY 1 W TRYBIE AUTOMATYCZNYM...

Bardziej szczegółowo

Bazy danych Podstawy teoretyczne

Bazy danych Podstawy teoretyczne Pojcia podstawowe Baza Danych jest to zbiór danych o okrelonej strukturze zapisany w nieulotnej pamici, mogcy zaspokoi potrzeby wielu u!ytkowników korzystajcych z niego w sposóbs selektywny w dogodnym

Bardziej szczegółowo

Interfejsy transmisji szeregowej: RS-232, RS-485, I2C, SPI, CAN

Interfejsy transmisji szeregowej: RS-232, RS-485, I2C, SPI, CAN Interfejsy transmisji szeregowej: RS-232, RS-485, I2C, SPI, CAN Wyrónia si dwa podstawowe rodzaje transmisji szeregowej: asynchroniczna i synchroniczna. Dane przesyłane asynchronicznie nie s zwizane z

Bardziej szczegółowo

WIELOKANAŁOWY GENERATOR CYFROWY

WIELOKANAŁOWY GENERATOR CYFROWY WIELOKANAŁOWY GENERATOR CYFROWY Bartosz Jakubski Instytut Informatyki i Elektroniki, Uniwersytet Zielonogórski 65-246 Zielona Góra, ul. Podgórna 50 e-mail: b.jakubski@iie.uz.zgora.pl STRESZCZENIE W artykule

Bardziej szczegółowo

NAP D I STEROWANIE PNEUMATYCZNE

NAP D I STEROWANIE PNEUMATYCZNE NAP D I STEROWANIE PNEUMATYCZNE ZESTAW WICZE LABORATORYJNYCH przygotowanie: dr in. Roman Korzeniowski Strona internetowa przedmiotu: www.hip.agh.edu.pl wiczenie Temat: Układy sterowania siłownikiem jednostronnego

Bardziej szczegółowo

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego LABORATORIUM TECHNIKI CYFROWEJ Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego Opracowali: dr in. Krystyna Maria Noga mgr in. Rafał Sokół

Bardziej szczegółowo

MAGISTRALA PROFIBUS W SIŁOWNIKU XSM

MAGISTRALA PROFIBUS W SIŁOWNIKU XSM DTR Załcznik nr 6 MAGISTRALA PROFIBUS W SIŁOWNIKU XSM Wydanie 1b lipiec 2014 r. 1 Załcznik nr 6 DTR SPIS TRECI STRONA 1. Własnoci interfejsu PROFIBUS DP... 3 2. Podłczenie magistrali... 3 3. Parametry

Bardziej szczegółowo

340_163 Moduł liczników binarnych

340_163 Moduł liczników binarnych SML3 październik 2008 73 340_163 Moduł liczników binarnych Opis ogólny Moduł 340_163 zawiera dwa układy 74163 liczników binarnych. Nadruk na płytce drukowanej modułu w dostateczny sposób opisuje znaczenie

Bardziej szczegółowo

Podłczenie HMI do LOGO!..0BA7 (WinCC Basic V11)

Podłczenie HMI do LOGO!..0BA7 (WinCC Basic V11) (WinCC Basic V11) Problem Program monitorowania poziomu napełnienia, temperatury i sterowania pompami napisany dla sterownika LOGO!..0BA6 naley uruchomi na sterowniku LOGO!..0BA7, a aktualne wartoci poziomu

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

PROGRAMOWALNY GENERATOR FUNKCYJNY Z BEZPOREDNI SYNTEZ CYFROW DDS

PROGRAMOWALNY GENERATOR FUNKCYJNY Z BEZPOREDNI SYNTEZ CYFROW DDS POLITECHNIKA LUBELSKA WYDZIAŁ ELEKTROTECHNIKI I INFORMATYKI KATEDRA AUTOMATYKI I METROLOGII Instrukcja obsługi generatora PROGRAMOWALNY GENERATOR FUNKCYJNY Z BEZPOREDNI SYNTEZ CYFROW DDS Dyplomant: Piotr

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Pomiar i nastawianie luzu w osiach posuwowych obrotowych

Pomiar i nastawianie luzu w osiach posuwowych obrotowych Wydział Budowy Maszyn i Zarządzania Instytut Technologii Mechanicznej Maszyny i urządzenia technologiczne laboratorium Pomiar i nastawianie luzu w osiach posuwowych obrotowych Cykl II Ćwiczenie 1 1. CEL

Bardziej szczegółowo

Instrukcja nr 9. Zegarek cyfrowy. AGH Zespół Mikroelektroniki Układy Elektroniczne J. Ostrowski, P. Dorosz Lab 9.1

Instrukcja nr 9. Zegarek cyfrowy. AGH Zespół Mikroelektroniki Układy Elektroniczne J. Ostrowski, P. Dorosz Lab 9.1 Instrukcja nr 9 Zegarek cyfrowy Lab 9. Przed laboratorium Cel ćwiczenia Celem ćwiczenia jest zbudowanie i uruchomienie zegarka z dekoderem 7- segmentowym na platformie ElVIS. Zegar składa się z trzech

Bardziej szczegółowo

Zasilanie urzdze elektronicznych laboratorium IV rok Elektronika Morska

Zasilanie urzdze elektronicznych laboratorium IV rok Elektronika Morska Zasilanie urzdze elektronicznych laboratorium IV rok Elektronika Morska wiczenie 1. Wyznaczanie charakterystyk dławikowej przetwornicy buck przy wykorzystaniu analizy stanów przejciowych Celem niniejszego

Bardziej szczegółowo

Rys Schemat montażowy (moduł KL blok e) Tablica C B A F

Rys Schemat montażowy (moduł KL blok e) Tablica C B A F Ćwiczenie 30 Temat: Układy multiplekserów i demultiplekserów. Cel ćwiczenia Poznanie zasad działania multiplekserów. Budowanie multiplekserów z podstawowych bramek logicznych i układu scalonego TTL. Czytanie

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

Rys1. Schemat blokowy uk adu. Napi cie wyj ciowe czujnika [mv]

Rys1. Schemat blokowy uk adu. Napi cie wyj ciowe czujnika [mv] Wstp Po zapoznaniu si z wynikami bada czujnika piezoelektrycznego, ramach projektu zaprojektowano i zasymulowano nastpujce ukady: - ródo prdowe stabilizowane o wydajnoci prdowej ma (do zasilania czujnika);

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

Kod pocztowy 00-928. Województwo Mazowieckie. Faks 630-10-19. Adres internetowy (URL) www.mi.gov.pl

Kod pocztowy 00-928. Województwo Mazowieckie. Faks 630-10-19. Adres internetowy (URL) www.mi.gov.pl OGŁOSZE O ZAMÓWIENIU Roboty budowlane Dostawy Usługi Wypełnia Urzd Zamówie Publicznych Data otrzymania ogłoszenia Numer identyfikacyjny SEKCJA I: ZAMAWIAJCY I.1) OFICJALNA NAZWA I ADRES ZAMAWIAJCEGO Ministerstwo

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Rezonans szeregowy (E 4)

Rezonans szeregowy (E 4) POLITECHNIKA LSKA WYDZIAŁINYNIERII RODOWISKA I ENERGETYKI INSTYTT MASZYN I RZDZE ENERGETYCZNYCH Rezonans szeregowy (E 4) Opracował: mgr in. Janusz MDRYCH Zatwierdził: W.O. . Cel wiczenia. Celem wiczenia

Bardziej szczegółowo

IO-7538-316; 2713-2902-270

IO-7538-316; 2713-2902-270 Spis treci 1. Informacje ogólne 2. Charakterystyka produktu 3. Podłczenie i uruchomienie SWK-426 4. Podłczenia, elementy funkcjonalne SWK-426 5. Strojenie, regulacja SWK-426 cz SAT 6. Strojenie, regulacja

Bardziej szczegółowo

ZASTOSOWANIE UKŁADÓW PROGRAMOWALNYCH I JĘZYKA VHDL W NAUCZANIU STEROWANIA CYFROWEGO

ZASTOSOWANIE UKŁADÓW PROGRAMOWALNYCH I JĘZYKA VHDL W NAUCZANIU STEROWANIA CYFROWEGO Zeszyty Naukowe Akademii Morskiej w Gdyni Scientific Journal of Gdynia Maritime University Nr 98/2017, 210 216 ISSN 1644-1818 e-issn 2451-2486 ZASTOSOWANIE UKŁADÓW PROGRAMOWALNYCH I JĘZYKA VHDL W NAUCZANIU

Bardziej szczegółowo

Zadanie 5 Projekt licznika wykorzystanie komórek standardowych

Zadanie 5 Projekt licznika wykorzystanie komórek standardowych Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Zadanie 5 Projekt licznika wykorzystanie komórek standardowych KE AGH str. 1 1. Cel Zapoznanie się studenta z projektowaniem hierarchicznym wykorzystując

Bardziej szczegółowo

Cyfrowe Ukªady Scalone

Cyfrowe Ukªady Scalone Cyfrowe Ukªady Scalone Marcin Polkowski marcin@polkowski.eu 7 listopada 2007 Spis tre±ci 1 Wprowadzenie 2 2 Zadania ukªadu 2 3 Wykorzystane moduªy elektroniczne 3 3.1 7493 - cztero bitowy licznik binarny..................................

Bardziej szczegółowo

Multiplekser, dekoder, demultiplekser, koder.

Multiplekser, dekoder, demultiplekser, koder. Opis ćwiczenia Multiplekser, dekoder, demultiplekser, koder. korzystując n-wejściową bramkę logiczną OR oraz n dwuwejściowych bramek N moŝna zbudować układ (rysunki: oraz 2), w którym poprzez podanie odpowiedniej

Bardziej szczegółowo

MODELOWANIE I PROGRAMOWANIE PRACY

MODELOWANIE I PROGRAMOWANIE PRACY Tadeusz MIKULCZYSKI 1, Daniel NOWAK 2, Rafał WICŁAWEK 3 Instytut Technologii Maszyn i Automatyzacji Politechniki Wrocławskiej, Wrocław 1. Streszczenie. Zaprezentowano metod Grafpol modelowania dyskretnych

Bardziej szczegółowo

Systemy taryfikacji rozmów dla elektronicznych central telefonicznych. Produkcja urzdze elektronicznych do przetwarzania informacji.

Systemy taryfikacji rozmów dla elektronicznych central telefonicznych. Produkcja urzdze elektronicznych do przetwarzania informacji. Systemy taryfikacji rozmów dla elektronicznych central telefonicznych. Produkcja urzdze elektronicznych do przetwarzania informacji. Producent: MikEL s.c., skr. poczt. 28, 44-217 Rybnik, tel (32)422-21-08,

Bardziej szczegółowo

1 Moduł Neuronu Cyfrowego SM

1 Moduł Neuronu Cyfrowego SM 1 Moduł Neuronu Cyfrowego SM Moduł Neuronu Cyfrowego SM daje użytkownikowi Systemu Vision możliwość obsługi fizycznych urządzeń Neuronów Cyfrowych podłączonych do Sterownika Magistrali. Moduł odpowiada

Bardziej szczegółowo

10. KLUCZE DWUKIERUNKOWE, MULTIPLEKSERY I DEMULTIPLEKSERY CMOS

10. KLUCZE DWUKIERUNKOWE, MULTIPLEKSERY I DEMULTIPLEKSERY CMOS . KLUZE DWUKIERUNKOWE, MULTIPLEKSERY I DEMULTIPLEKSERY MOS.. EL ĆWIZENIA elem ćwiczenia jest poznanie podstawowych charakterystyk kluczy dwukierunkowych oraz głównych właściwości multipleksera i demultipleksera

Bardziej szczegółowo

Badanie właściwości skramblera samosynchronizującego

Badanie właściwości skramblera samosynchronizującego Badanie właściwości skramblera samosynchronizującego Skramblery są układami służącymi do zmiany widma sekwencji cyfrowych przesyłanych torami transmisyjnymi.bazują na rejestrach przesuwnych ze sprzeżeniami

Bardziej szczegółowo

Dyskretyzacja sygnałów cigłych.

Dyskretyzacja sygnałów cigłych. POLITECHNIKA LSKA WYDZIAŁ INYNIERII RODOWISKA I ENERGETYKI INSTYTUT MASZYN I URZDZE ENERGETYCZNYCH LABORATORIUM METROLOGII Dyskretyzacja sygnałów cigłych. (M 15) www.imiue.polsl.pl/~wwwzmiape Opracował:

Bardziej szczegółowo

POBÓR MOCY MASZYN I URZDZE ODLEWNICZYCH

POBÓR MOCY MASZYN I URZDZE ODLEWNICZYCH Eugeniusz ZIÓŁKOWSKI, 1 Roman WRONA 2 Wydział Odlewnictwa AGH 1. Wprowadzenie. Monitorowanie poboru mocy maszyn i urzdze odlewniczych moe w istotny sposób przyczyni si do oceny technicznej i ekonomicznej

Bardziej szczegółowo

Jumo dtron 04.1 Jumo dtron 08.1 Regulatory mikroprocesorowe Wykonanie obudowy wg DIN 43 700

Jumo dtron 04.1 Jumo dtron 08.1 Regulatory mikroprocesorowe Wykonanie obudowy wg DIN 43 700 Jumo dtron 04.1 Jumo dtron 08.1 Regulatory mikroprocesorowe Wykonanie obudowy wg DIN 43 700 Charakterystyka urz!dzenia Regulatory mikroprocesorowe serii dtron 04.1 i 08.1 o panelach przednich 96mm x 96mm,

Bardziej szczegółowo

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium.

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Zagadnienia do samodzielnego opracowania: rola sygnału taktującego (zegara) w układach synchronicznych; co robi sygnał CLEAR (w

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów LABORATORIUM TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA Badanie rejestrów Opracował: Tomasz Miłosławski Wymagania, znajomość zagadnień: 1. Typy, parametry, zasada działania i tablice stanów przerzutników

Bardziej szczegółowo

Oscyloskopy, analizatory stanów ScopeDAQ: dane techniczne

Oscyloskopy, analizatory stanów ScopeDAQ: dane techniczne Oscyloskopy, analizatory stanów ScopeDAQ: dane techniczne ScopeDAQ 2.0 jest dwukanaowym oscyloskopem cyfrowym o maksymalnej czstotliwoci próbkowania 200MHz i rozdzielczoci przetwornika 8 bitów. Uytkownik

Bardziej szczegółowo

Uniwersalny wzmacniacz wielozakresowy WWK Instrukcja Obsługi

Uniwersalny wzmacniacz wielozakresowy WWK Instrukcja Obsługi Uniwersalny wzmacniacz wielozakresowy WWK-1062 Instrukcja Obsługi ------------------------------------------------------------------------------------------------- GZT TELKOM-TELMOR Sp. z o.o. ul. Mickiewicza

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. PTC 2015/2016 Magistrale W układzie cyfrowym występuje bank rejestrów do przechowywania

Bardziej szczegółowo

1. Wykorzystanie sterownika Modicon Micro (03) do sterowania transportem i segregacją półfabrykatów

1. Wykorzystanie sterownika Modicon Micro (03) do sterowania transportem i segregacją półfabrykatów 1. Wykorzystanie sterownika Modicon Micro 61200 (03) do sterowania transportem i segregacją półfabrykatów procesu technologicznego 1.1. Wprowadzenie W ćwiczeniu tym obiektem poddanym sterowaniu będzie

Bardziej szczegółowo

STEROWANIE MASZYN I URZĄDZEŃ I. Laboratorium. 4. Przekaźniki czasowe

STEROWANIE MASZYN I URZĄDZEŃ I. Laboratorium. 4. Przekaźniki czasowe STEROWANIE MASZYN I URZĄDZEŃ I Laboratorium 4. Przekaźniki czasowe Opracował: dr hab. inż. Cezary Orlikowski Instytut Politechniczny W tym ćwiczeniu będą realizowane programy sterujące zawierające elementy

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM. AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI ELWIS Rev.1.0 1. Wprowadzenie Celem

Bardziej szczegółowo

Program SMS4 Monitor

Program SMS4 Monitor Program SMS4 Monitor INSTRUKCJA OBSŁUGI Wersja 1.0 Spis treci 1. Opis ogólny... 2 2. Instalacja i wymagania programu... 2 3. Ustawienia programu... 2 4. Opis wskaników w oknie aplikacji... 3 5. Opcje uruchomienia

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo

SYMBOLE GRAFICZNE NONIKÓW ENERGII

SYMBOLE GRAFICZNE NONIKÓW ENERGII SYMBOLE GRAFICZNE NONIKÓW ENERGII Noniki energii ródło cinienia Symbol graficzny nonika energii Przewód roboczy Przewód sterujcy Przewód odpowietrzajcy Połczenia przewodów(stałe) Skrzyowanie przewodów

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

Aqua Reef Meter. Instrukcja obsługi

Aqua Reef Meter. Instrukcja obsługi Wersja oprogramowania V2.16 Aqua Reef Meter Instrukcja obsługi Aqua Reef Meter (ARM) jest elektronicznym kontrolerem słucym do kontroli wybranych parametrów wody akwariowej oraz do sterowania akwariowymi

Bardziej szczegółowo

Elektronika cyfrowa i optoelektronika - laboratorium

Elektronika cyfrowa i optoelektronika - laboratorium Państwowa Wyższa Szkoła Zawodowa w Nowym Sączu Instytut Techniczny Elektronika cyfrowa i optoelektronika - laboratorium Temat: Minimalizacja funkcji logicznych multiplekser demultiplekser. Koder i dekodedr.

Bardziej szczegółowo

Projektowanie i badanie liczników synchronicznych i asynchronicznych

Projektowanie i badanie liczników synchronicznych i asynchronicznych Laboratorium Podstaw Techniki Cyfrowej dr Marek Siłuszyk mgr Arkadiusz Wysokiński Ćwiczenie 08 PTC Projektowanie i badanie liczników synchronicznych i asynchronicznych opr. tech. Mirosław Maś Uniwersytet

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Ćwiczenie Nr 12 PROJEKTOWANIE WYBRANYCH

Bardziej szczegółowo

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Cel ćwiczenia: Głównym celem ćwiczenia jest nauczenie się obsługi klawiatury. Klawiatura jest jednym z urządzeń wejściowych i prawie zawsze występuje

Bardziej szczegółowo

1. Realizacja programowa sekwencyjnego sterowania windą w bloku mieszkalnym

1. Realizacja programowa sekwencyjnego sterowania windą w bloku mieszkalnym 1. Realizacja programowa sekwencyjnego sterowania windą w bloku mieszkalnym 1.1. Wprowadzenie Windy są nieodzownym atrybutem bloków mieszkalnych o dużej liczbie pięter. W zależności od liczby wind obsługujących

Bardziej szczegółowo

STEROWNIK LAMP BAKTERIOBÓJCZYCH GAMMA

STEROWNIK LAMP BAKTERIOBÓJCZYCH GAMMA STEROWNIK LAMP BAKTERIOBÓJCZYCH GAMMA Wersja programu XA INSTRUKCJA OBSŁUGI I MONTAU Opracowanie: PROGRES - kwiecie 2004 Urzdzenie posiada znak CE i spełnia normy: 73/23/ECC, 89/336/ECC I. Zasady bezpieczestwa.

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów służy do przechowywania danych. Wybór źródła

Bardziej szczegółowo

wiczenie 1. Przetwornice dławikowe

wiczenie 1. Przetwornice dławikowe Laboratorium z przedmiotu Półprzewodnikowe przyrzdy mocy dla VI semestru studiów inynierskich Elektronika i Telekomunikacja o specjalnoci Elektronika Morska wiczenie 1. Przetwornice dławikowe Zadania do

Bardziej szczegółowo

Wizualizacja UAR ze sterownikiem

Wizualizacja UAR ze sterownikiem POLITECHNIKA LSKA W GLIWICACH WYDZIAŁ INYNIERII RODOWISKA i ENERGETYKI INSTYTUT MASZYN i URZDZE ENERGETYCZNYCH Wizualizacja UAR ze sterownikiem Laboratorium automatyki (A 12) Opracował: dr in. Jerzy Widenka

Bardziej szczegółowo