Sterownik programowalny LOGO

Wielkość: px
Rozpocząć pokaz od strony:

Download "Sterownik programowalny LOGO"

Transkrypt

1 Sterownik programowalny LOGO LOGO! to uniwersalny sterownik logiczny opracowany przez firm Siemens. LOGO! łczy w sobie nastpujce elementy i funkcje: elementy sterowania, panel sterowniczy i podwietlany ekran, zasilacz, interfejs umoliwiajcy dołczanie modułów zewntrznych, interfejs umoliwiajcy dołczenie modułu pamiciowego (Card) i kabla połczeniowego do PC, wbudowane funkcje, np.: opónione zał/wyłcz, przekanik impulsowy, przełcznik programowalny, timer, binarne i analogowe znaczniki stanu, wejcia i wyjcia w zalenoci od typu urzdzenia. Zastosowania LOGO! LOGO! słuy do obsługi urzdze domowych, instalacji elektrycznych (np. owietlenie klatki schodowej, owietlenie zewntrzne, markizy, zasłony, owietlenie wystawy sklepowej itp.), zastpuje aparatur szafki rozdzielczej i sterowniki urzdze mechanicznych (np. systemy sterowania bram, klimatyzacja, pompa deszczówki itp.). LOGO! znajduje równie zastosowanie w wyspecjalizowanych systemach obsługi cieplarni i szklarni, przetwarzania sygnału, a take, poprzez połczenie z modułem komunikacyjnym (np. ASi), do celów rozproszonej obsługi maszyn i procesów przemysłowych. Niezalenie od liczby modułów podłczonych do LOGO! przy pisaniu programów wykorzysta mona nastpujce wejcia/wyjcia: wejcia binarne od I1 do I24, wejcia analogowe od AI1 do AI8, wyjcia binarne od Q1 do Q16, wyjcia analogowe AQ1 i AQ2, bity rejestru przesuwnego S1 do S8, 4 klawisze kursora, 16 wyj wirtualnych od X1 do X16. bloki binarnych znaczników stanu od M1 do M24, bloki analogowych znaczników stanu od AM1 do AM6, 1

2 Tryby pracy modułu podstawowego LOGO! Basic Moduł podstawowy LOGO! Basic moe znajdowa si w jednym z dwóch trybów pracy: STOP oraz RUN. STOP Na wywietlaczu: No program (nie dotyczy modeli bez wywietlacza LOGO!...o). LOGO! przełcza si do trybu programowania. Dioda pali si na czerwono (dotyczy tylko modeli bez wywietlacza LOGO!). Działanie LOGO!: Nie s odczytywane stany wej. Program nie jest wykonywany. Przekaniki maj rozwarte styki, tranzystory wyjciowe s wyłczone. RUN Na wywietlaczu: monitor stanu wej i wyj oraz komunikaty (menu główne po rozpoczciu pracy). LOGO! przełcza si do trybu modyfikacji parametrów. Dioda pali si na zielono (dotyczy tylko modeli bez wywietlacza LOGO!.). Działanie LOGO!: Odczytuje stany wej. Wykonuje program i oblicza stany wyj. Włcza lub wyłcza wyjciowe przekaniki lub tranzystory. Konektory LOGO! Pojcie konektor odnosi si do wszystkich połcze i stanów w urzdzeniu. Stan logiczny wejcia/wyjcia okrela si jako 0 lub 1. Stan 0 oznacza brak napicia na danym wejciu. Natomiast stan 1 oznacza, e na tym wejciu jest napicie. W celu ułatwienia programowania konektory oznaczono jako hi, lo oraz x : hi (high wysoki poziom napicia) odpowiada stanowi 1, lo (low niski poziom napicia) odpowiada stanowi 0. Nie ma koniecznoci uycia wszystkich konektorów w bloku. Nieuywanym konektorom program automatycznie przypisuje taki stan, który zapewni poprawne działanie danego bloku. Jeli nie zamierza si wykorzysta okrelonych konektorów bloku, oznacza si je jako x. Bloki Blok w LOGO! to funkcja uywana w celu okrelenia sposobu konwersji sygnału wejciowego na wyjciowy. Bez pomocy LOGO! trzeba by łczy przewodami pojedyncze elementy w szafce sterowniczej lub rozdzielczej. Programowanie LOGO! polega na wzajemnym łczeniu bloków. W tym celu naley wybra dane połczenie z menu Co (od ang.: Connector). 2

3 Do bloków podstawowych nale funkcje logiczne: AND OR itp W tym przykładzie wejcia I1 i I2 podłczone s do bloku OR. Pozostałe dwa wejcia s nieuywane i oznaczone jako x. Duo wiksze moliwoci daj funkcje specjalne: przerzutnik bistabilny licznik wzrastajcy/malejcy włcznik czasowy przełcznik wciskowy przełcznik wielofunkcyjny generator losowy opónione wyłczenie podtrzymane opónione załczenie... Przedstawienie bloków na wywietlaczu LOGO! Ponisza ilustracja przedstawia typowy widok wywietlacza LOGO! Jednorazowo moe on pokazywa obraz tylko jednego bloku. Dlatego te dla ułatwienia konstruowania układu, bloki oznacza si numerami. 3

4 Numeracja bloków Umieszczenie nowego bloku w programie powoduje automatyczne oznaczenie go numerem. Numery te wskazuj na połczenia midzy blokami. Ich podstawowym zadaniem jest zapewnienie uytkownikowi kontroli nad programem. Przedstawione trzy widoki wywietlacza LOGO! odpowiadaj jednemu programowi. O sposobie, w jaki połczono bloki w LOGO!, informuj ich numery. Dziki numeracji bloków niemal kady blok przyłczy mona do wejcia biecego bloku posługujc si jego numerem. Dziki temu mona w programie ponownie wykorzystywa wyniki działania porednich bloków. Reprezentacja obwodu na schemacie połcze Obcienie E1 włcza/wyłcza si poprzez układ przełczników: (S1 OR S2) AND S3. Przekanik K1 załcza si, jeli układ ten jest zamknity. Realizacja schematu w LOGO! W systemie LOGO! projektuje si obwód elektryczny łczc bloki i konektory. Wprowadzanie programu do LOGO! naley rozpoczyna od wyjcia, którym jest obcienie lub przekanik. Nieuywanym konektorom program automatycznie przypisuje taki stan, który zapewni poprawne działanie danego bloku. Jest to równowane z przypisaniem konektorowi oznaczenia x. 4

5 Przegld menu LOGO: Funkcje LOGO! W programowaniu LOGO! wykorzystuje si wiele rónych elementów. Ułoono je w kilka list: Co - lista konektorów (Connector) GF - lista funkcji podstawowych: AND, OR... SF - lista funkcji specjalnych (Special Functions) BN - lista bloków uytych w programie. 5

6 Zawarto list Listy zawieraj wszystkie elementy dostpne w LOGO!, s to wic wszystkie konektory, funkcje podstawowe i funkcje specjalne wbudowane w LOGO!, a take wszystkie bloki stworzone przez uytkownika do momentu wywołania listy bloków. Lista funkcji podstawowych - GF Funkcje podstawowe to proste operacje logiczne algebry Boole a. Istnieje moliwo zanegowania sygnału na poszczególnych wejciach funkcji specjalnych. Wówczas program zamienia sygnał o wartoci logicznej 1 na 0 i odwrotnie: jeli na wejciu jest sygnał o wartoci logicznej 0, program zinterpretuje ten sygnał jako logiczne 0. Funkcje podstawowe zebrane s na licie GF. Do dyspozycji s nastpujce funkcje podstawowe: 6

7 AND z pamici stanu (zbocze) Oznaczenie w LOGO! Wyjcie bloku AND z pamici stanu przyjmuje stan 1, jeli stany na wszystkich wejciach maj warto 1 i przynajmniej jedno wejcie w poprzednim cyklu miało stan 0. Bloki funkcji specjalnych znajduj si na licie SF. Oto lista dostpnych funkcji specjalnych (symbol REM oznacza, e funkcja posiada opcj podtrzymania pamici): 7

8 Opónione włczenie Wyjcie bloku przyjmuje stan 1 po upływie zadanego czasu. Zmiana stanu na wejciu Trg z 0 na 1 powoduje rozpoczcie odliczania czasu T a (czas roboczy LOGO!). Jeli na wejciu Trg stan 1 trwa co najmniej tak długo, ile wynosi zadany czas T, po upływie czasu T na wyjciu pojawia si 1 (po wzbudzeniu wejcia wyjcie zostanie wzbudzone z zadan zwłok). Odliczanie zadanego czasu T ulega przerwaniu, jeli przed jego upływem wejcie Trg powróci do stanu 0. Wyjcie zeruje si, gdy wejcie Trg powróci do stanu 0. Jeli nie uaktywniono opcji podtrzymania pamici, przerwa w zasilaniu powoduje wyzerowanie wyjcia Q i pozostałego do odliczenia czasu. Opónione wyłczenie Wyjcie bloku przyjmuje stan 0 po upływie zadanego czasu. Pojawienie si poziomu wysokiego na wejciu Trg (zmiana stanu z 0 na 1) powoduje wzbudzenie wyjcia Q (stan wyjcia hi). Zmiana stanu na wejciu Trg z 1 na 0 powoduje rozpoczcie odliczania czasu Ta, przy czym wyjcie pozostaje wzbudzone. W momencie, kiedy Ta osignie zadan warto T (Ta=T), wyjcie ulega wyzerowaniu. Kade zbocze opadajce na wejciu Trg powoduje rozpoczcie odliczania od pocztku. Wejcie zerujce R słuy do zerowania wyjcia oraz czasu Ta przed jego upływem. 8

9 Opónienie z podtrzymaniem Impuls na wejciu rozpoczyna odliczanie zadanego czasu. Wej- cie zostanie włczone po upływie zadanego czasu. Zmiana stanu na wejciu Trg z 0 na 1 powoduje rozpoczcie odliczania czasu Ta. W momencie kiedy Ta=T, wyjcie zostaje włczone. Dalsze zmiany stanu na wejciu Trg nie maj ju wpływu na odliczanie czasu Ta. Wyjcie i odliczany czas Ta ulegaj wyzerowaniu, gdy pojawi si sygnał (stan 1) na wejciu R. Przekanik czasowy z wyjciem impulsowym Sygnał wejciowy powoduje wygenerowanie na wyjciu sygnału o okrelonym czasie trwania. Zmiana stanu wejcia Trg z 0 na 1 powoduje wzbudzenie wyjcia (przyjmuje ono stan 1) i rozpoczcie odliczania czasu Ta, podczas którego wyjcie pozostaje włczone. Gdy odliczany czas Ta osignie warto zadanego czasu T (Ta=T=długo impulsu), wyjcie Q ulega wyzerowaniu (przyjmuje stan lo). Jeli przed upływem zadanego czasu T nastpi zmiana stanu wejcia Trg z 1 na 0, wyjcie ulega wyzerowaniu. Przykład zastosowania LOGO - Drzwi automatyczne Wymagania dla drzwi automatycznych: kiedy kto si zblia, musz si otworzy automatycznie, drzwi musz pozosta otwarte dopóki kto jest w przejciu, kiedy przejcie si opróni, drzwi musz si automatycznie zamkn po upływie krótkiego czasu. 9

10 Rozwizanie klasyczne Kiedy kto wkroczy w obszar detekcji czujników ruchu B1 lub B2, drzwi si otwieraj dziki K3. Kiedy obszar detekcji jest pusty przez okrelony czas, to K4 umoliwia zamknicie drzwi. Schemat blokowy konwencjonalnego obwodu sterowania zrealizowanego w LOGO Ten schemat mona uproci przez zastosowanie funkcji: opónionego wyłczenia w miejsce przekanika zatrzaskowego i opónionego włczenia. 10

Uniwersytet Warmińsko-Mazurski. Instrukcja do ćwiczeń laboratoryjnych

Uniwersytet Warmińsko-Mazurski. Instrukcja do ćwiczeń laboratoryjnych Uniwersytet Warmińsko-Mazurski Wydział Nauk Technicznych Instrukcja do ćwiczeń laboratoryjnych Programowanie sterowników LOGO! z wykorzystaniem panelu sterowniczego. Opracował: mgr inż. Michał Kozłowski

Bardziej szczegółowo

Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE)

Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE) LABORATORIUM TECHNIKI CYFROWEJ Diagnostyka układów programowalnych, sterowanie prac windy (rodowisko MAX+plus II 10.1 BASELINE) Opracowali: dr in. Krystyna Noga mgr in. Rafał Sokół Akademia Morska Wydział

Bardziej szczegółowo

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów...

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów... Spis treści 3 1. Podstawowe wiadomości...9 1.1. Sterowniki podstawowe wiadomości...10 1.2. Do czego służy LOGO!?...12 1.3. Czym wyróżnia się LOGO!?...12 1.4. Pierwszy program w 5 minut...13 Oświetlenie

Bardziej szczegółowo

Ateus - Helios. System domofonowy

Ateus - Helios. System domofonowy Ateus - Helios System domofonowy Klawiatura telefoniczna: Uywajc klawiatury mona wybra dowolny numer abonenta. Helios moe pracowa z wybieraniem DTMF lub impulsowym. Ograniczenia na dostp do sieci publicznej

Bardziej szczegółowo

Podłczenie HMI do LOGO!..0BA7 (WinCC Basic V11)

Podłczenie HMI do LOGO!..0BA7 (WinCC Basic V11) (WinCC Basic V11) Problem Program monitorowania poziomu napełnienia, temperatury i sterowania pompami napisany dla sterownika LOGO!..0BA6 naley uruchomi na sterowniku LOGO!..0BA7, a aktualne wartoci poziomu

Bardziej szczegółowo

PROGRAMOWANIE STEROWNIKA FANUK (A 17)

PROGRAMOWANIE STEROWNIKA FANUK (A 17) POLITECHNIKA LSKA W GLIWICACH WYDZIAŁ INYNIERII RODOWISKA i ENERGETYKI INSTYTUT MASZYN i URZDZE ENERGETYCZNYCH PROGRAMOWANIE STEROWNIKA FANUK Laboratorium automatyki (A 17) Opracował: dr in. Jerzy Widenka

Bardziej szczegółowo

Przegld nowych urzdze Instabus EIB pokazanych na targach L&B 2006 we Frankfurcie. Merten Polska Sp. z o.o. Rozwizania dla Inteligentnych budynków

Przegld nowych urzdze Instabus EIB pokazanych na targach L&B 2006 we Frankfurcie. Merten Polska Sp. z o.o. Rozwizania dla Inteligentnych budynków Przegld nowych urzdze Instabus EIB pokazanych na targach L&B 2006 we Frankfurcie 1 Przegld: Elementy EIB udoskonalone, nowoci Stacja pogodowa, Sterownik IC1, Wejcia / Wyjcia analogowe Nowoci: Przyciski,

Bardziej szczegółowo

Instrukcja obsługi programu Pilot PS 5rc

Instrukcja obsługi programu Pilot PS 5rc Instrukcja obsługi programu Pilot PS 5rc Spis treci 1.Wprowadzenie....3 2. Wymagania....3 3. Instalacja oprogramowania...3 4. Uruchomienie Programu...5 4.1. Menu główne...5 4.2. Zakładki...6 5. Praca z

Bardziej szczegółowo

System TELE-Power (wersja STD) Instrukcja instalacji

System TELE-Power (wersja STD) Instrukcja instalacji System TELE-Power (wersja STD) Instrukcja instalacji 1) Zasilacz sieciowy naley dołczy do sieci 230 V. Słuy on do zasilania modułu sterujcego oraz cewek przekaników. 2) Przewód oznaczony jako P1 naley

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Laboratorium elektryczne. Falowniki i przekształtniki - I (E 14)

Laboratorium elektryczne. Falowniki i przekształtniki - I (E 14) POLITECHNIKA LSKA WYDZIAŁINYNIERII RODOWISKA I ENERGETYKI INSTYTUT MASZYN I URZDZE ENERGETYCZNYCH Laboratorium elektryczne Falowniki i przekształtniki - I (E 14) Opracował: mgr in. Janusz MDRYCH Zatwierdził:

Bardziej szczegółowo

STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO!

STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO! STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO! SPIS TREŚCI STEROWNIKI NANO-PLC BUDOWA STEROWNIKA NANO-PLC PARAMETRY LOGO! OPROGRAMOWANIE NARZĘDZIOWE ZESTAW FUNKCJI W LOGO! PRZYKŁADY PROGRAMÓW STEROWNIKI

Bardziej szczegółowo

MODUŁ POWIADOMIENIA GSM Z FUNKCJ CENTRALI ALARMOWEJ

MODUŁ POWIADOMIENIA GSM Z FUNKCJ CENTRALI ALARMOWEJ MODUŁ POWIADOMIENIA GSM Z FUNKCJ CENTRALI ALARMOWEJ Przeznaczenie Moduł GSM słuy do sygnalizowania rónych zdarze za pomoc krótkich wiadomoci tekstowych (SMS) oraz telefonowania. Urzdzenie moe wysyła komunikaty

Bardziej szczegółowo

Przemysłowe Systemy Automatyki ĆWICZENIE 2

Przemysłowe Systemy Automatyki ĆWICZENIE 2 Politechnika Poznańska Katedra Sterowania i Inżynierii Systemów Przemysłowe Systemy Automatyki ĆWICZENIE 2 Sterowanie poziomem cieczy w zbiornikach Celem ćwiczenia jest zapoznanie z działaniem przekaźnika

Bardziej szczegółowo

Sterowanie prac plotera w układach logiki programowalnej

Sterowanie prac plotera w układach logiki programowalnej LABORATORIUM TECHNIKI CYFROWEJ Sterowanie prac plotera w układach logiki programowalnej Opracowali: mgr in. Rafał Sokół dr in. Krystyna Maria Noga Akademia Morska Wydział Elektryczny Katedra Automatyki

Bardziej szczegółowo

Termostat typ N321 R TERMOSTAT ELEKTRONICZNY INSTRUKCJA OBSŁUGI DTR.N321 R.04

Termostat typ N321 R TERMOSTAT ELEKTRONICZNY INSTRUKCJA OBSŁUGI DTR.N321 R.04 DTR.N321 R.04 Termostat typ N321 R TERMOSTAT ELEKTRONICZNY INSTRUKCJA OBSŁUGI 1. ZASTOSOWANIE Urzdzenie typu N321 jest elektronicznym, cyfrowym termostatem przeznaczonym do stosowania w systemach chłodzenia.

Bardziej szczegółowo

Termostat elektroniczny N321

Termostat elektroniczny N321 1. ZASTOSOWANIE Urzdzenie typu N321 jest elektronicznym, cyfrowym termostatem przeznaczonym do stosowania w systemach grzania i chłodzenia. Dostpne s nastpujce czujniki temperatury: termistor NTC, Pt100,

Bardziej szczegółowo

MAGISTRALA PROFIBUS W SIŁOWNIKU XSM

MAGISTRALA PROFIBUS W SIŁOWNIKU XSM DTR Załcznik nr 6 MAGISTRALA PROFIBUS W SIŁOWNIKU XSM Wydanie 1b lipiec 2014 r. 1 Załcznik nr 6 DTR SPIS TRECI STRONA 1. Własnoci interfejsu PROFIBUS DP... 3 2. Podłczenie magistrali... 3 3. Parametry

Bardziej szczegółowo

Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1. Instrukcja obsługi

Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1. Instrukcja obsługi Mikroprocesorowy regulator temperatury RTSZ-2 Oprogramowanie wersja 1.1 Instrukcja obsługi Parametry techniczne mikroprocesorowego regulatora temperatury RTSZ-2 Cyfrowy pomiar temperatury w zakresie od

Bardziej szczegółowo

PROGRAMOWALNY GENERATOR FUNKCYJNY Z BEZPOREDNI SYNTEZ CYFROW DDS

PROGRAMOWALNY GENERATOR FUNKCYJNY Z BEZPOREDNI SYNTEZ CYFROW DDS POLITECHNIKA LUBELSKA WYDZIAŁ ELEKTROTECHNIKI I INFORMATYKI KATEDRA AUTOMATYKI I METROLOGII Instrukcja obsługi generatora PROGRAMOWALNY GENERATOR FUNKCYJNY Z BEZPOREDNI SYNTEZ CYFROW DDS Dyplomant: Piotr

Bardziej szczegółowo

Mikroprocesorowy panel sterowania wentylatorami

Mikroprocesorowy panel sterowania wentylatorami Mikroprocesorowy panel sterowania wentylatorami Przeznaczenie: Mikroprocesorowy panel sterowania wraz z układem wentylatorów przeznaczony jest do pomiaru, kontroli i automatycznego utrzymywania temperatury

Bardziej szczegółowo

FUNKCJE UYTKOWNIKA. Rozbrajanie systemu pod przymusem [Kod przymusu] Blokowanie linii

FUNKCJE UYTKOWNIKA. Rozbrajanie systemu pod przymusem [Kod przymusu] Blokowanie linii Instrukcja uytkownika centrali Orbit-5;strona 1 FUNKCJE UYTKOWNIKA FUNKCJA PROCEDURA Uzbrajanie systemu [Kod uytkownika] + [ARM] Uzbrajanie w trybie W domu [Kod uytkownika] + [STAY] Rozbrajanie systemu

Bardziej szczegółowo

Rys1. Schemat blokowy uk adu. Napi cie wyj ciowe czujnika [mv]

Rys1. Schemat blokowy uk adu. Napi cie wyj ciowe czujnika [mv] Wstp Po zapoznaniu si z wynikami bada czujnika piezoelektrycznego, ramach projektu zaprojektowano i zasymulowano nastpujce ukady: - ródo prdowe stabilizowane o wydajnoci prdowej ma (do zasilania czujnika);

Bardziej szczegółowo

Odbiornik radiowy do roletowanych bram garaowych

Odbiornik radiowy do roletowanych bram garaowych simu PL RSA Hz nr ref. 2005324 Odbiornik radiowy do roletowanych bram garaowych 5014017A Prosimy uwanie przeczyta niniejsz instrukcj przed przystpieniem do uytkowania urzdzenia. Niniejszym SIMU deklaruje,

Bardziej szczegółowo

Programowany elektronicznie wzmacniacz wielowejciowy WWK-951. Instrukcja obsługi

Programowany elektronicznie wzmacniacz wielowejciowy WWK-951. Instrukcja obsługi Programowany elektronicznie wzmacniacz wielowejciowy WWK-951 Instrukcja obsługi GZT TELKOM-TELMOR Sp. z o.o. Spis ul. Mickiewicza treci 5/7 80-425 Gdask Infolinia: 0801 011 311 e-mail: handlowy@telmor.pl,

Bardziej szczegółowo

obsług dowolnego typu formularzy (np. formularzy ankietowych), pobieranie wzorców formularzy z serwera centralnego,

obsług dowolnego typu formularzy (np. formularzy ankietowych), pobieranie wzorców formularzy z serwera centralnego, Wstp GeForms to program przeznaczony na telefony komórkowe (tzw. midlet) z obsług Javy (J2ME) umoliwiajcy wprowadzanie danych według rónorodnych wzorców. Wzory formularzy s pobierane z serwera centralnego

Bardziej szczegółowo

FIRMA INNOWACYJNO-WDRO ENIOWA 33-100 Tarnów ul. Krzyska 15 tel: 608465631 tel/faks: 0146210029, 0146360117 mail: elbit@resnet.pl www.elbit.resnet.

FIRMA INNOWACYJNO-WDRO ENIOWA 33-100 Tarnów ul. Krzyska 15 tel: 608465631 tel/faks: 0146210029, 0146360117 mail: elbit@resnet.pl www.elbit.resnet. FIRMA INNOWACYJNO-WDRO ENIOWA CIO1 elementów przeciw przepi:ciowych chroni;cych go od przepi diod? LED sygnalizuj@ca podanie

Bardziej szczegółowo

LUMINA DUO Sterownik czasowy. Instrukcja instalacji i obsługi

LUMINA DUO Sterownik czasowy. Instrukcja instalacji i obsługi LUMINA DUO Sterownik czasowy Instrukcja instalacji i obsługi Uwagi dotyczce bezpieczestwa Po rozpakowaniu urzdzenia naley sprawdzi czy w transporcie nie wystpiły na nim adne uszkodzenia. Jeli tak, naley

Bardziej szczegółowo

AUTOMATYCZNE I ZDALNE STEROWANIE STACJ UZDATNIANIA WODY

AUTOMATYCZNE I ZDALNE STEROWANIE STACJ UZDATNIANIA WODY AUTOMATECH AUTOMATYCZNE I ZDALNE STEROWANIE STACJ UZDATNIANIA WODY W roku 2006 Gmina Kampinos dokonała modernizacji swojej stacji uzdatniania wody (SUW). Obok zmian typu budowlanego (nowe zbiorniki wody,

Bardziej szczegółowo

INSTYTUT AUTOMATYKI I ROBOTYKI P O L I T E C H N I K I W A R S Z A W S K IEJ

INSTYTUT AUTOMATYKI I ROBOTYKI P O L I T E C H N I K I W A R S Z A W S K IEJ INSTYTUT AUTOMATYKI I ROBOTYKI P O L I T E C H N I K I W A R S Z A W S K IEJ Programowalny ministerownik procesów binarnych SIEMENS LOGO Materiały pomocnicze do zajęć w Laboratorium Automatyki Procesów

Bardziej szczegółowo

WWK-951. Programowany elektronicznie wzmacniacz wielowejciowy. Instrukcja obsługi IO-7538-314-01; 2713-2902- GZT TELKOM-TELMOR Sp. z o.o.

WWK-951. Programowany elektronicznie wzmacniacz wielowejciowy. Instrukcja obsługi IO-7538-314-01; 2713-2902- GZT TELKOM-TELMOR Sp. z o.o. Programowany elektronicznie wzmacniacz wielowejciowy WWK-951 Instrukcja obsługi GZT TELKOM-TELMOR Sp. z o.o. Spis ul. Mickiewicza treci 5/7 80-425 Gdask Spis Infolinia: treci 0801 011 3111 e-mail: handlowy@telmor.pl,

Bardziej szczegółowo

MODUŁ POWIADOMIENIA GSM Z FUNKCJ CENTRALI ALARMOWEJ

MODUŁ POWIADOMIENIA GSM Z FUNKCJ CENTRALI ALARMOWEJ MODUŁ POWIADOMIENIA GSM Z FUNKCJ CENTRALI ALARMOWEJ Przeznaczenie Moduł GSM słuy do sygnalizowania rónych zdarze za pomoc krótkich wiadomoci tekstowych (SMS) oraz telefonowania. Urzdzenie moe wysyła komunikaty

Bardziej szczegółowo

Spis treci. Dzie 1. I Omówienie sprztu serii S7-300/400 (wersja 0904) II Instalacja urzdze S7 (wersja 0807) Kurs Diagnostyka Zaawansowana S7

Spis treci. Dzie 1. I Omówienie sprztu serii S7-300/400 (wersja 0904) II Instalacja urzdze S7 (wersja 0807) Kurs Diagnostyka Zaawansowana S7 Spis treci Dzie 1 I Omówienie sprztu serii S7-300/400 (wersja 0904) I-3 Sterowniki programowalne - podział I-4 Elementy systemu sterownika S7-300 I-5 S7-300 Jednostki centralne CPU I-6 S7-300 Jednostki

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

INSTRUKCJA OBS UGI MIKROPROCESOROWY REGULATOR. Typu. tel: 091 880 88 80 www.thermopomiar.pl info@thermopomiar.pl

INSTRUKCJA OBS UGI MIKROPROCESOROWY REGULATOR. Typu. tel: 091 880 88 80 www.thermopomiar.pl info@thermopomiar.pl INSTRUKCJA OBS UGI MIKROPROCESOROWY REGULATOR Typu INSTALACJA Sterownik powinien by zainstalowany w sposób opisany w punkcie 2.1. Najpierw naley zdj zacisk mocujcy i włoy sterownik w otwór panelu. Po ponownym

Bardziej szczegółowo

PRZYKŁAD ROZWIZANIA ZADANIAZ INFORMATORA DO ETAPU PRAKTYCZNEGO EGZAMINU W ZAWODZIE TECHNIK INFORMATYK

PRZYKŁAD ROZWIZANIA ZADANIAZ INFORMATORA DO ETAPU PRAKTYCZNEGO EGZAMINU W ZAWODZIE TECHNIK INFORMATYK PRZYKŁAD ROZWIZANIA ZADANIAZ INFORMATORA DO ETAPU PRAKTYCZNEGO EGZAMINU W ZAWODZIE TECHNIK INFORMATYK 1. Tytuł pracy egzaminacyjnej Opracowanie projektu realizacji prac prowadzcych do lokalizacji i usunicia

Bardziej szczegółowo

INSTYTUT AUTOMATYKI I ROBOTYKI PW

INSTYTUT AUTOMATYKI I ROBOTYKI PW INSTYTUT AUTOMATYKI I ROBOTYKI PW Sterownik programowalny LOGO! Spis treści: Praca z LOGO! podstawowe zasady... 2 Zmiana trybu pracy... 2 Wejścia i wyjścia... 2 Kursor i przemieszczanie kursora... 2 Planowanie...

Bardziej szczegółowo

ARKUSZ EGZAMINACYJNY ETAP PRAKTYCZNY EGZAMINU POTWIERDZAJ CEGO KWALIFIKACJE ZAWODOWE CZERWIEC 2011

ARKUSZ EGZAMINACYJNY ETAP PRAKTYCZNY EGZAMINU POTWIERDZAJ CEGO KWALIFIKACJE ZAWODOWE CZERWIEC 2011 Zawód: technik mechatronik Symbol cyfrowy zawodu: 311[50] Numer zadania: 2 Arkusz zawiera informacje prawnie chronione do momentu rozpoczcia egzaminu 311[50]-02-112 Czas trwania egzaminu: 240 minut ARKUSZ

Bardziej szczegółowo

Eugeniusz ZIÓŁKOWSKI 1 Wydział Odlewnictwa AGH, Kraków

Eugeniusz ZIÓŁKOWSKI 1 Wydział Odlewnictwa AGH, Kraków Eugeniusz ZIÓŁKOWSKI 1 Wydział Odlewnictwa AGH, Kraków 1. Wprowadzenie. Szczegółowa analiza poboru mocy przez badan maszyn czy urzdzenie odlewnicze, zarówno w aspekcie technologicznym jak i ekonomicznym,

Bardziej szczegółowo

Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 6 str.1/13 ĆWICZENIE 6

Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 6 str.1/13 ĆWICZENIE 6 Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 6 str.1/13 ĆWICZENIE 6 PROGRAMOWANIE UNIWERSALNYCH STEROWNIKÓW LOGICZNYCH NA PRZYKŁADZIE MODUŁU LOGICZNEGO LOGO! FIRMY SIEMENS 1.CEL ĆWICZENIA:

Bardziej szczegółowo

Cyfrowe Ukªady Scalone

Cyfrowe Ukªady Scalone Cyfrowe Ukªady Scalone Marcin Polkowski marcin@polkowski.eu 7 listopada 2007 Spis tre±ci 1 Wprowadzenie 2 2 Zadania ukªadu 2 3 Wykorzystane moduªy elektroniczne 3 3.1 7493 - cztero bitowy licznik binarny..................................

Bardziej szczegółowo

PODRCZNIK PROGRAMOWANIA POMPY DOZUJCEJ PROGRAMMING INSTRUCTIONS FOR DOSING PUMP MANUEL DE PROGRAMMATION DE LA POMPE DOSEUSE

PODRCZNIK PROGRAMOWANIA POMPY DOZUJCEJ PROGRAMMING INSTRUCTIONS FOR DOSING PUMP MANUEL DE PROGRAMMATION DE LA POMPE DOSEUSE PODRCZNIK PROGRAMOWANIA POMPY DOZUJCEJ PROGRAMMING INSTRUCTIONS FOR DOSING PUMP MANUEL DE PROGRAMMATION DE LA POMPE DOSEUSE MANUAL DE INSTRUCCIÓN DE LA BOMBA DOSIFICADORA HC 997 MOD A PI-MA-CLK ADSP9000026

Bardziej szczegółowo

Blok funkcjonalny to specjalizowany układ cyfrowy przystosowany do wykonania jednej lub kilku okrelonych operacji przetwarzania sygnałów binarnych.

Blok funkcjonalny to specjalizowany układ cyfrowy przystosowany do wykonania jednej lub kilku okrelonych operacji przetwarzania sygnałów binarnych. Omawiane do tej pory układy logiczne to inaczej mówic układy cyfrowe konstruowane z bramek i przerzutników. I w zasadzie mona z nich zaprojektowa i zbudowa dowolny układ cyfrowy. Problem jednak ley w tym,

Bardziej szczegółowo

Dyskretyzacja sygnałów cigłych.

Dyskretyzacja sygnałów cigłych. POLITECHNIKA LSKA WYDZIAŁ INYNIERII RODOWISKA I ENERGETYKI INSTYTUT MASZYN I URZDZE ENERGETYCZNYCH LABORATORIUM METROLOGII Dyskretyzacja sygnałów cigłych. (M 15) www.imiue.polsl.pl/~wwwzmiape Opracował:

Bardziej szczegółowo

GZT TELKOM-TELMOR SP. Z O.O, ul. Mickiewicza 5/7, Gdask, Poland.

GZT TELKOM-TELMOR SP. Z O.O, ul. Mickiewicza 5/7, Gdask, Poland. Spis treci 1. Wane informacje... 2 1.1 Utylizacja niepotrzebnego sprztu elektrycznego i elektronicznego. 1.2 Przeznaczenie i charakterystyka przemiennika TV 2. Podłczenia, elementy funkcjonalne... 3 3.

Bardziej szczegółowo

Podstawowe elementy układu sterowania stycznikowego

Podstawowe elementy układu sterowania stycznikowego Podstawowe elementy układu sterowania stycznikowego Podstawowe elementy układu sterowania stycznikowego to: styczniki i przekaniki. Styczniki to elementy wykonawcze. Przekaniki steruj prac styczników,

Bardziej szczegółowo

Uniwersalny wzmacniacz wielozakresowy WWK Instrukcja Obsługi

Uniwersalny wzmacniacz wielozakresowy WWK Instrukcja Obsługi Uniwersalny wzmacniacz wielozakresowy WWK-1062 Instrukcja Obsługi ------------------------------------------------------------------------------------------------- GZT TELKOM-TELMOR Sp. z o.o. ul. Mickiewicza

Bardziej szczegółowo

UFO compact & () *( +( &+(,&-,+( (+#+(. )/ 0, (! " #$! " ""% & #'%!!

UFO compact & () *( +( &+(,&-,+( (+#+(. )/ 0, (!  #$!  % & #'%!! UFO compact & () *( +( &+(,&-,+( (+#+(. )/ 0, ( UFO compact przetwarzanie sygnału UFO compact przetwarzanie sygnału Prezentujc UFO compact, Kathrein udostpnia niedrog stacj odbiorcz high-tech do odbioru

Bardziej szczegółowo

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop. 2017 Spis treści O autorze 9 Wprowadzenie 11 Rozdział 1. Sterownik przemysłowy 15 Sterownik S7-1200 15 Budowa zewnętrzna

Bardziej szczegółowo

Planowanie adresacji IP dla przedsibiorstwa.

Planowanie adresacji IP dla przedsibiorstwa. Planowanie adresacji IP dla przedsibiorstwa. Wstp Przy podejciu do planowania adresacji IP moemy spotka si z 2 głównymi przypadkami: planowanie za pomoc adresów sieci prywatnej przypadek, w którym jeeli

Bardziej szczegółowo

Aqua Reef Meter. Instrukcja obsługi

Aqua Reef Meter. Instrukcja obsługi Wersja oprogramowania V2.16 Aqua Reef Meter Instrukcja obsługi Aqua Reef Meter (ARM) jest elektronicznym kontrolerem słucym do kontroli wybranych parametrów wody akwariowej oraz do sterowania akwariowymi

Bardziej szczegółowo

Building Technologies. Cerberus PRO. Seria FS720 (MP3.0)

Building Technologies. Cerberus PRO. Seria FS720 (MP3.0) Seria FS720 (MP3.0) Cerberus PRO Kompaktowa, prefabrykowana centrala mikroprocesorowa o pojemnoci do 26 adresów Centrala moe pracowa w wersji stand-alone Wbudowana funkcja pracy w trybie awaryjnym Interfejs

Bardziej szczegółowo

Instrukcja obsługi TG051

Instrukcja obsługi TG051 Instrukcja obsługi TG051 1.0 Zawarto zestawu 1.1 Opis produktu 1.2 Ustawianie wartoci parametrów pracy automatycznej Parametry jasnoci dla pozycji słoca Parametry przekanika zmierzchowego Parametry zegara

Bardziej szczegółowo

IO-7538-316; 2713-2902-270

IO-7538-316; 2713-2902-270 Spis treci 1. Informacje ogólne 2. Charakterystyka produktu 3. Podłczenie i uruchomienie SWK-426 4. Podłczenia, elementy funkcjonalne SWK-426 5. Strojenie, regulacja SWK-426 cz SAT 6. Strojenie, regulacja

Bardziej szczegółowo

ZPKSoft. Kreator dokumentów. Wstp. Przeznaczenie. Definicje

ZPKSoft. Kreator dokumentów. Wstp. Przeznaczenie. Definicje ZPKSoft Kreator dokumentów Wstp Kreator dokumentów jest aplikacj sieciow typu klient serwer, dedykowan dla serwera InterBase. Aplikacja pracuje w rodowisku Windows. Jest dostosowana do współpracy z systemem

Bardziej szczegółowo

Instrukcja obsługi systemu przywoławczego pomidzy kabin LF a laboratorium analiz chemicznych

Instrukcja obsługi systemu przywoławczego pomidzy kabin LF a laboratorium analiz chemicznych Strona 0 z 16 Instrukcja obsługi systemu przywoławczego pomidzy kabin LF a laboratorium analiz chemicznych ZARMEN Sp. z o.o. 45-641 Opole ul. Owicimska 121 ZRM Warszawa 01-949 Warszawa ul. Kasprowicza

Bardziej szczegółowo

FORTECA DF - terminal kasowy

FORTECA DF - terminal kasowy FORTECA DF - terminal kasowy 1. WSTP FortecaTerminal jest programem wspomagajcym gówny modu handlowy Forteca w zakresie obsugi drukarek fiskalnych. Program wspópracuje z drukarkami POSNET, Duo, Optimus

Bardziej szczegółowo

1 Moduł Neuronu Cyfrowego SM

1 Moduł Neuronu Cyfrowego SM 1 Moduł Neuronu Cyfrowego SM Moduł Neuronu Cyfrowego SM daje użytkownikowi Systemu Vision możliwość obsługi fizycznych urządzeń Neuronów Cyfrowych podłączonych do Sterownika Magistrali. Moduł odpowiada

Bardziej szczegółowo

Only for internal use! Interfejs IO-LINK, FDT/DTM, ifm Container

Only for internal use! Interfejs IO-LINK, FDT/DTM, ifm Container Only for internal use! Interfejs IO-LINK, FDT/DTM, ifm Container P.Wienzek / KN1 ifm electronic gmbh updated: 17.01.2007 1/36 Konsorcjum IO-Link FDT/DTM - oprogramowanie do zdalnej parametryzacji FDT (Field

Bardziej szczegółowo

Program SMS4 Monitor

Program SMS4 Monitor Program SMS4 Monitor INSTRUKCJA OBSŁUGI Wersja 1.0 Spis treci 1. Opis ogólny... 2 2. Instalacja i wymagania programu... 2 3. Ustawienia programu... 2 4. Opis wskaników w oknie aplikacji... 3 5. Opcje uruchomienia

Bardziej szczegółowo

Building Technologies. Cerberus PRO. Do central sygnalizacji poaru serii FS720 (MP1XS)

Building Technologies. Cerberus PRO. Do central sygnalizacji poaru serii FS720 (MP1XS) Do central sygnalizacji poaru serii FS720 (MP1XS) Cerberus PRO Obsługa systemu i podgld zdarze Duy podwietlany wywietlacz LCD (8 linii po 40 znaków) Czytelne wywietlanie informacji o alarmach widoczne

Bardziej szczegółowo

Przed instalacj naley sprawdzi wersj posiadanych sterowników urzdzenia. Powinna by nie starsza ni:

Przed instalacj naley sprawdzi wersj posiadanych sterowników urzdzenia. Powinna by nie starsza ni: Instalacja karty TechniSat Sky Star 2 Przed instalacj naley sprawdzi wersj posiadanych sterowników urzdzenia. Powinna by nie starsza ni: DriverVer = 07/08/2003 4.2.8.9999 Po zainstalowaniu karty na złczu

Bardziej szczegółowo

Instrukcja obsługi regulatora i wizualizacji pieca pokrocznego na Walcowni Drobnej P46 Strona 1 z 26

Instrukcja obsługi regulatora i wizualizacji pieca pokrocznego na Walcowni Drobnej P46 Strona 1 z 26 Strona 1 z 26 Spis treci 1. WSTP.... 2 2. PANEL OPERATORSKI PANELVIEW PLUS 700.... 3 3. URUCHOMIENIE PANELU OPERATORSKIEGO.... 5 4. OKNO GŁÓWNE.... 6 5. OKNO REGULACJI STREFY 1 W TRYBIE AUTOMATYCZNYM...

Bardziej szczegółowo

SIRIUS - 3TK2820. Sirius nowy przekanik bezpieczestwa 3TK2820

SIRIUS - 3TK2820. Sirius nowy przekanik bezpieczestwa 3TK2820 SIRIUS - 3TK2820 Sirius nowy przekanik bezpieczestwa 3TK2820 Oficjalne sprostowanie Wane Produkty opisane w niniejszym pimie s rozwizaniami dla realizacji funkcji zwizanych z bezpieczestwem jako cz ogólnego

Bardziej szczegółowo

Autorzy: Kraków, stycze 2007 Łukasz Dziewanowski Filip Haftek (studenci AGH III roku kierunku Automatyka i Robotyka)

Autorzy: Kraków, stycze 2007 Łukasz Dziewanowski Filip Haftek (studenci AGH III roku kierunku Automatyka i Robotyka) Autorzy: Kraków, stycze 2007 Łukasz Dziewanowski Filip Haftek (studenci AGH III roku kierunku Automatyka i Robotyka) PROGRAM DO OBSŁUGI TELEFONU KOMÓRKOWEGO I. Instalacja: MOLIWOCI POŁCZENIA TELEFONU Z

Bardziej szczegółowo

Zestaw. Wersja standardowa 93.5 92.5 6.60 74.5 73.5 65.0 64.0 28.5 27.5. Czujnik 1 EB+ AUX 4. Czujnik 2. Czujnik 6. Czujnik 3. Czujnik 5.

Zestaw. Wersja standardowa 93.5 92.5 6.60 74.5 73.5 65.0 64.0 28.5 27.5. Czujnik 1 EB+ AUX 4. Czujnik 2. Czujnik 6. Czujnik 3. Czujnik 5. Zestaw WSTP Zestaw LWS EB+ jest urzdzeniem pozwalajcym na przyłczenie kilku czujników zuycia okładzin do jednego wejcia analogowego AUX 4 w ECU EB+. Zestaw moe by zamontowany na wszystkich rodzajach naczep

Bardziej szczegółowo

sterownik VCR v 1. 0

sterownik VCR v 1. 0 sterownik VCR v 1.0 1 I. DANE TECHNICZNE...2 1 Budowa...2 2 Dane znamionowe...2 II. INSTRUKCJA UŻYTKOWANIA...3 1 Programowanie sterownika...3 2 Symulacja algorytmu...3 3 Możliwości kalendarza...4 3.1 Wgrywanie

Bardziej szczegółowo

wiczenie 5 Woltomierz jednokanaowy

wiczenie 5 Woltomierz jednokanaowy wiczenie 5 Woltomierz jednokanaowy IMiO PW, LPTM, wiczenie 5, Woltomierz jednokanaowy -2- Celem wiczenia jest zapoznanie si# z programow% obsug% prostego przetwornika analogowo-cyfrowego na przykadzie

Bardziej szczegółowo

Przetwornik temperatury SITRANS T Przetwornik do montau w głowicy czujnka

Przetwornik temperatury SITRANS T Przetwornik do montau w głowicy czujnka Przegld Aplikacje Przetwornik moe by stosowany we wszystkich gałziach przemysłu. Jego kompaktowa wielko umoliwia łatwy monta na szynach w szafie. Dziki uniwersalnemu wejcie jest moliwa współpraca z nastpujcymi

Bardziej szczegółowo

9.1.11 Komunikaty alarmowe serwonapdu wrzeciona

9.1.11 Komunikaty alarmowe serwonapdu wrzeciona 9.1.11 Komunikaty alarmowe serwonapdu wrzeciona Nr A Nieprawidłowo działania pamici ROM dla programów (brak pamici). Program sterujcy nie został uruchomiony z powodu braku zainstalowanej pamici ROM itd.

Bardziej szczegółowo

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego LABORATORIUM TECHNIKI CYFROWEJ Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego Opracowali: dr in. Krystyna Maria Noga mgr in. Rafał Sokół

Bardziej szczegółowo

EC4P Pierwszy program w 6 krokach

EC4P Pierwszy program w 6 krokach EC4P Pierwszy program w 6 krokach Strona - 1 Wymagania / Przygotowanie Instalacja easy Soft CoDeSys Zakłada si, e adna z wersji easysoft CoDeSys nie jest zainstalowana. Podczas instalacji wykonuj poszczególne

Bardziej szczegółowo

JANEX INTERNATIONAL Sp.z O.O Warszawa, ul. Płomyka 2 INSTRUKCJA OBSŁUGI. JANEX INT Instrukcja obsługi XL-31

JANEX INTERNATIONAL Sp.z O.O Warszawa, ul. Płomyka 2 INSTRUKCJA OBSŁUGI. JANEX INT Instrukcja obsługi XL-31 JANEX INTERNATIONAL Sp.z O.O. 02-490 Warszawa, ul. Płomyka 2 INSTRUKCJA OBSŁUGI JANEX INT. - 1 - Instrukcja obsługi XL-31 SZYFRATOR. Arm AC/LB Ready 1 2 3 4 5 6 7 8 9 10 11 12 SM SZYFRATOR XL 4612 1. DIODY

Bardziej szczegółowo

zdefiniowanie kilku grup dyskusyjnych, z których chcemy odbiera informacje, dodawanie, usuwanie lub edycj wczeniej zdefiniowanych grup dyskusyjnych,

zdefiniowanie kilku grup dyskusyjnych, z których chcemy odbiera informacje, dodawanie, usuwanie lub edycj wczeniej zdefiniowanych grup dyskusyjnych, Wstp W nowoczesnym wiecie coraz istotniejsz rol odgrywa informacja i łatwy dostp do niej. Nie dziwi wic fakt, i nowoczesne telefony komórkowe to nie tylko urzdzenia do prowadzenia rozmów telefonicznych,

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI CYFROWEGO WSKANIKA POŁOENIA PODOBCIENIOWEGO PRZEŁCZNIKA ZACZEPÓW WP-EC 01-4 Z NADAJNIKIEM MK-EC 1

INSTRUKCJA OBSŁUGI CYFROWEGO WSKANIKA POŁOENIA PODOBCIENIOWEGO PRZEŁCZNIKA ZACZEPÓW WP-EC 01-4 Z NADAJNIKIEM MK-EC 1 INSTRUKCJA OBSŁUGI CYFROWEGO WSKANIKA POŁOENIA PODOBCIENIOWEGO PRZEŁCZNIKA ZACZEPÓW WP-EC 01-4 Z NADAJNIKIEM MK-EC 1 WP-EC 01-4 z MK-EC 1 SIERPIE 2004 str. 1 Spis Treci Lp. Tre Strona 1 Informacje wstpne.

Bardziej szczegółowo

LABORATORIUM INFORMATYKI 0

LABORATORIUM INFORMATYKI 0 1. Uruchomi VS Express 2. Wybra z menu File, New Project 3. W oknie dialogowym New Project a. Podwietli Windows Application b. W pole Name wpisa własna nazw np. Program7 4. Zostanie utworzony szkielet

Bardziej szczegółowo

Instrukcja obsługi dodatku InsERT GT Smart Documents

Instrukcja obsługi dodatku InsERT GT Smart Documents Instrukcja obsługi dodatku InsERT GT Smart Documents InsERT, grudzie 2003 http://www.insert.com.pl/office2003 InsERT GT Smart Documents to przygotowany przez firm InsERT specjalny dodatek, umoliwiajcy

Bardziej szczegółowo

Przycisk pracy. Przycisk stopu/kasowanie

Przycisk pracy. Przycisk stopu/kasowanie RUN STOP/RST ELEMENT KLWAIARTURY PRZYCISK RUN PRZYCISK STOP/RST POTENCJOMETR min-max PRZEŁCZNIK NPN/PNP PRZEŁCZNIK 4-KIERUNKOWY FUNKCJA Przycisk pracy Przycisk stopu/kasowanie Czstotliwo Wybór Przycisk

Bardziej szczegółowo

#$ $ Opracował mgr in. Artur Kłosek

#$ $ Opracował mgr in. Artur Kłosek w BIŁGO RAJU!"#$% #$ $ #$!&'&(!"# Opracował mgr in. Artur Kłosek Schemat montaowy panelu wiczeniowego 3 Schemat montaowy panelu wiczeniowego Zamieszczony poniej schemat montaowy jest uproszczonym schematem

Bardziej szczegółowo

Kurs SIMATIC S7-300/400 i TIA Portal - Podstawowy. Spis treści. Dzień 1. I System SIEMENS SIMATIC S7 - wprowadzenie (wersja 1503)

Kurs SIMATIC S7-300/400 i TIA Portal - Podstawowy. Spis treści. Dzień 1. I System SIEMENS SIMATIC S7 - wprowadzenie (wersja 1503) Spis treści Dzień 1 I System SIEMENS SIMATIC S7 - wprowadzenie (wersja 1503) I-3 Rodzina sterowników programowalnych SIMATIC S7 firmy SIEMENS I-4 Dostępne moduły i ich funkcje I-5 Jednostki centralne I-6

Bardziej szczegółowo

Konfiguracja i programowanie sterownika GE Fanuc VersaMax z modelem procesu przepływów i mieszania cieczy. Przebieg ćwiczenia

Konfiguracja i programowanie sterownika GE Fanuc VersaMax z modelem procesu przepływów i mieszania cieczy. Przebieg ćwiczenia Ćwiczenie VI LABORATORIUM MECHATRONIKI IEPiM Konfiguracja i programowanie sterownika GE Fanuc VersaMax z modelem procesu przepływów i mieszania cieczy Przebieg ćwiczenia 1. Rozpoznać elementy modelu układu

Bardziej szczegółowo

Funkcje: wejściowe, wyjściowe i logiczne. Konfigurowanie zabezpieczeń.

Funkcje: wejściowe, wyjściowe i logiczne. Konfigurowanie zabezpieczeń. Funkcje: wejściowe, wyjściowe i logiczne. Konfigurowanie zabezpieczeń. 1.ZASADA DZIAŁANIA...2 2. FUNKCJE WEJŚCIOWE... 4 2.1 Zasada działania...4 2.2 Spis funkcji wejściowych oraz wejść...4 2.2.1 Nastawy

Bardziej szczegółowo

Millenium II+ Moduły programowalne. jeszcze więcej możliwości NOWOŚĆ! FUNKCJA

Millenium II+ Moduły programowalne. jeszcze więcej możliwości NOWOŚĆ! FUNKCJA NOWOŚĆ! Moduły programowalne Millenium II+ jeszcze więcej możliwości FUNKCJA Łatwość i intuicyjność programowania, szeroka oferta oraz olbrzymie możliwości w postaci wejścia analogowego 0-10V, potencjometrycznego,

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

REMATIC Modu! sterowania DMS3

REMATIC Modu! sterowania DMS3 REMATIC ModusterowaniaDMS3 ModusterowaniasiownikówREMATIC WklasycznychsiownikachelektrycznychREGADAsterowanieodbywasizapomocelektromechanicznychjednostek pooeniowych i momentowych/siowych nadajników pooenia,

Bardziej szczegółowo

JANEX INTERNATIONAL Sp z O.O Warszawa, ul. Płomyka 2 Tel. (022) INSTRUKCJA OBSŁUGI

JANEX INTERNATIONAL Sp z O.O Warszawa, ul. Płomyka 2 Tel. (022) INSTRUKCJA OBSŁUGI JANEX INTERNATIONAL Sp z O.O. 02-490 Warszawa, ul. Płomyka 2 Tel. (022) 863-63-53 INSTRUKCJA OBSŁUGI JANEX INT. - 1 - Instrukcja Obsługi XL-2A / XL-1 SZYFRATOR. ARM STAY INSTANT AC/LB READY 1 2 3 4 5 6

Bardziej szczegółowo

Klonowanie MAC adresu oraz TTL

Klonowanie MAC adresu oraz TTL 1. Co to jest MAC adres? Klonowanie MAC adresu oraz TTL Adres MAC (Media Access Control) to unikalny adres (numer seryjny) kadego urzdzenia sieciowego (jak np. karta sieciowa). Kady MAC adres ma długo

Bardziej szczegółowo

POLITECHNIKA SZCZECIŃSKA WYDZIAŁ ELEKTRYCZNY

POLITECHNIKA SZCZECIŃSKA WYDZIAŁ ELEKTRYCZNY POLITECHNIKA SZCZECIŃSKA WYDZIAŁ ELEKTRYCZNY Instrukcja do zajęć laboratoryjnych Temat ćwiczenia: Zegar czasu rzeczywistego - integracja systemu LCN z modułem logicznym LOGO! Numer ćwiczenia: 8 Opracowali:

Bardziej szczegółowo

System zabezpieczenia i monitorowania maszyn wirujcych

System zabezpieczenia i monitorowania maszyn wirujcych TNC20 Monitor, przemieszcze i temperatury typ MCM1 8-kanałowy, LAN, RS485 Zastosowanie 8-kanałowy monitor pomiarowy typu MCM1 słuy do monitorowania stanu dynamicznego maszyn wirujcych typu sprarki, wentylatory,

Bardziej szczegółowo

OBSŁUGA I PROGRAMOWANIE Masownicy Próniowej ( Sterownik mikroprocesorowy Mikster MCM-023)

OBSŁUGA I PROGRAMOWANIE Masownicy Próniowej ( Sterownik mikroprocesorowy Mikster MCM-023) OBSŁUGA I PROGRAMOWANIE Masownicy Próniowej ( Sterownik mikroprocesorowy Mikster MCM-023) Tok postpowania od momentu zakupu masownicy do jej pełnego uruchomienia. Masownica próniowa pracuje w dwóch systemach

Bardziej szczegółowo

Kurs STARTER S5. Spis treści. Dzień 1. III Budowa wewnętrzna, działanie i obsługa sterownika (wersja 0504)

Kurs STARTER S5. Spis treści. Dzień 1. III Budowa wewnętrzna, działanie i obsługa sterownika (wersja 0504) I Dlaczego sterownik? (wersja 0504) Spis treści Dzień 1 I-3 Wady i zalety poszczególnych rodzajów układów sterowania I-4 Charakterystyka rodziny S5 I-5 II Podłączenie sterownika do obiektu (wersja 0504)

Bardziej szczegółowo

Dostawa i wymiana projektorów w salach wykładowych 1M, 2M, AZ, 1C i AULI JP II Tabela parametrów. Monta projektora w sali 1M

Dostawa i wymiana projektorów w salach wykładowych 1M, 2M, AZ, 1C i AULI JP II Tabela parametrów. Monta projektora w sali 1M Sprawa Nr NA-P / 5 / 2010 PAKIET II ZAŁCZNIK 4C Nazwa i adres Dostawcy:.......... Lp. Parametr: Parametry oferowane (nazwa i typ oferowanego urzdzenia) 1. 2. 3. 5. 1 Projektor szerokoktny Dostawa i wymiana

Bardziej szczegółowo

ARKUSZ EGZAMINACYJNY ETAP PRAKTYCZNY EGZAMINU POTWIERDZAJ CEGO KWALIFIKACJE ZAWODOWE CZERWIEC 2014

ARKUSZ EGZAMINACYJNY ETAP PRAKTYCZNY EGZAMINU POTWIERDZAJ CEGO KWALIFIKACJE ZAWODOWE CZERWIEC 2014 Zawód: technik elektronik Symbol cyfrowy zawodu: 311[07] Numer zadania: 1 Arkusz zawiera informacje prawnie chronione do momentu rozpoczcia egzaminu 311[07]-01-142 Czas trwania egzaminu: 240 minut ARKUSZ

Bardziej szczegółowo

Oscyloskopy, analizatory stanów ScopeDAQ: dane techniczne

Oscyloskopy, analizatory stanów ScopeDAQ: dane techniczne Oscyloskopy, analizatory stanów ScopeDAQ: dane techniczne ScopeDAQ 2.0 jest dwukanaowym oscyloskopem cyfrowym o maksymalnej czstotliwoci próbkowania 200MHz i rozdzielczoci przetwornika 8 bitów. Uytkownik

Bardziej szczegółowo

System midzybankowej informacji gospodarczej Dokumenty Zastrzeone MIG DZ ver. 2.0. Aplikacja WWW ver. 2.1 Instrukcja Obsługi

System midzybankowej informacji gospodarczej Dokumenty Zastrzeone MIG DZ ver. 2.0. Aplikacja WWW ver. 2.1 Instrukcja Obsługi System midzybankowej informacji gospodarczej Dokumenty Zastrzeone MIG DZ ver. 2.0. Aplikacja WWW ver. 2.1 Instrukcja Obsługi 1.Wymagania techniczne 1.1. Wymagania sprztowe - minimalne : komputer PC Intel

Bardziej szczegółowo

Rejestratory Sił, Naprężeń.

Rejestratory Sił, Naprężeń. JAS Projektowanie Systemów Komputerowych Rejestratory Sił, Naprężeń. 2012-01-04 2 Zawartość Typy rejestratorów.... 4 Tryby pracy.... 4 Obsługa programu.... 5 Menu główne programu.... 7 Pliki.... 7 Typ

Bardziej szczegółowo

RFT-451A ZABEZPIECZENIE CZĘSTOTLIWOŚCIOWE I NAPIĘCIOWE KARTA KATALOGOWA

RFT-451A ZABEZPIECZENIE CZĘSTOTLIWOŚCIOWE I NAPIĘCIOWE KARTA KATALOGOWA ZABEZPIECZENIE CZĘSTOTLIWOŚCIOWE I NAPIĘCIOWE KARTA KATALOGOWA KARTA KATALOGOWA RFT-451A CHARAKTERYSTYKA OGÓLNA Zastosowanie Zabezpieczenie czstotliwociowe i napiciowe RFT-451A jest przeznaczone do stosowania

Bardziej szczegółowo

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

Badanie układów sterowania napdem elektrycznym - rozruch silników indukcyjnych

Badanie układów sterowania napdem elektrycznym - rozruch silników indukcyjnych Politechnika Warszawska - Instytut IM w Płocku, Podstawy Elektrotechniki i Elektroniki Badanie układów sterowania napdem elektrycznym - rozruch silników indukcyjnych 1. Cel wiczenia Celem wiczenia jest

Bardziej szczegółowo