SYNTEZA WSPÓLNYCH MODELI AUTOMATÓW SKOŃCZONYCH NA PLD

Wielkość: px
Rozpocząć pokaz od strony:

Download "SYNTEZA WSPÓLNYCH MODELI AUTOMATÓW SKOŃCZONYCH NA PLD"

Transkrypt

1 SYNTEZA WSPÓLNYCH MODELI AUTOMATÓW SKOŃCZONYCH NA PLD Walery Sołowjew, Adam Klimowicz Wydział Informatyki Politechniki Białostockiej, ul. Wiejska 45A, Białystok Praca prezentuje nową metodę syntezy automatów skończonych. Efektywność tej metody polega na wykorzystaniu w ramach jednej struktury różnych klas automatów skończonych. Efektywność wykorzystania różnych klas automatów przejawia się w zmniejszeniu liczby bitów wykorzystanych do kodowania stanów wewnętrznych i uproszczeniu części kombinacyjnej automatu skończonego, a co za tym idzie zmniejszeniu liczby wykorzystanych wyjściowych lub wewnętrznych makrokomórek układu PLD. Algorytm ten korzysta z zasobów współczesnych układów programowalnych, a w szczególności z takich cech jak możliwość stosowania wyjść i wejść układu zarówno buforowanych jak i kombinacyjnych, a także możliwość wykorzystania przerzutnika w pętli sprzężenia zwrotnego układu programowalnego. Pokazana zostanie klasyfikacja modeli automatów skończonych ze względu na możliwość ich realizacji na PLD, a także warunki ich realizacji. Zaprezentowano wyniki działania algorytmu w porównaniu z innymi metodami. 1. WPROWADZENIE Programowalne układy logiczne (PLD) są powszechnie stosowane do realizacji układów sekwencyjnych, których modelem matematycznym jest automat skończony. W wyniku ciągłego rozwoju architektur układów programowalnych [10, 14], rosną ich możliwości do realizacji systemów cyfrowych. Z tego powodu, bardzo ważną rzeczą jest znalezienie efektywnych metod syntezy automatów skończonych na bazie PLD. Głównym problemem przy projektowaniu automatów skończonych jest kodowanie stanów automatu [1, 7]. Wcześniejsze metody koncentrowały się głównie na minimalizacji liczby składników postaci sumy iloczynów części kombinacyjnej automatu [1, 3, 4, 6]. Z wcześniejszych metod można wyróżnić metody syntezy do realizacji w strukturach dwupoziomowych (np. NOVA) [18] jak również w strukturach wielopoziomowych (np. MUSTANG, JEDI) [5, 8]. Jednak wyniki otrzymywane za pomocą wyżej wymienionych metod przy syntezie na współczesnych układach logiki programowalnej nie są optymalne. Dzieje się to powodu tego, że metody te nie uwzględniają cech szczególnych architektur współczesnych układów programowalnych. Proponowana metoda opiera się na zaproponowanych wcześniej nowych modelach automatów skończonych [13-17], a w szczególności wykorzystaniu klas A, D i E automatów, połączonych w jednej strukturze. Charakteryzuje się ona wykorzystaniem w znacznym stopniu możliwości współczesnych struktur programowalnych takich, jak: stosowanie wejść i wyjść układu programowalnego, zarówno kombinacyjnych jak i rejestrowych, możliwość wykorzystania przy realizacji automatu skończonego przerzutnika umieszczonego w pętli sprzężenia zwrotnego. Dużą efektywność tej metody uzyskujemy dzięki wzajemnemu niwelowaniu się wad poszczególnych klas automatów oraz maksymalnemu wykorzystaniu ich zalet. Efektywność ta przejawia się w zmniejszeniu liczby bitów wykorzystanych do kodowania stanów wewnętrznych i uproszczeniu części kombinacyjnej automatu skończonego, a także w uproszczeniu funkcji wyjściowych i funkcji wzbudzeń elementów pamięci automatu skończonego.

2 2. ZAŁOŻENIA WSTĘPNE Niech automat skończony będzie opisany w standardzie KISS [19], czyli za pomocą tablicy przejść składającej się z czterech kolumn a m, a s, X(a m,a s ) i Y(a m,a s ), gdzie a m - stan obecny automatu, a s - stan następny, X(a m,a s ) wektor zmiennych wejściowych a Y(a m,a s ) - wektor zmiennych wyjściowych. Jeden wiersz w tablicy przejść odpowiada jednemu przejściu automatu. We wspólnym modelu automatu skończonego zbiór stanów wewnętrznych jest rozpatrywany jako suma podzbiorów A A, A D i A E odnoszących się do stanów wewnętrznych automatów klas A, D i E. W ogólnym przypadku dopuszczalne jest istnienie części wspólnych różnych podzbiorów stanów wewnętrznych automatu. Poszczególne podzbiory charakteryzują się następującymi cechami: A A zbiór stanów wewnętrznych automatu skończonego, dla których przy przejściach z danego stanu mogą być formowane różne zbiory zmiennych wyjściowych; A D podzbiór zbioru stanów A A, takich, że przy przejściach w każdy stan ze zbioru A D formowany jest ten sam wektor zmiennych wyjściowych, nie występujący przy przejściach do innych stanów automatu skończonego; A E podzbiór zbioru stanów A A, takich, że przejścia w każdy stan ze zbioru A E są uwarunkowane tym samym wektorem zmiennych wejściowych, nie wpływającym na przejścia do innych stanów automatu skończonego. Proponowany model automatu skończonego jest automatem typu Mealy ego, tzn., że wartości na wyjściach automatu zależą zarówno od stanów wewnętrznych, jak i od zmiennych wejściowych układu. W skład struktury automatu wchodzą modele automatów klas A, D i E, które mogą być opisane za pomocą poniższych równań: automat klasy A (Mealy ego) [10]: a t+1 = ( z t, a t ); ( 1 ) w t = ( z t, a t ); automat klasy D (każdy wektor wyjściowy jest taki sam, jak odpowiadający mu kod stanu następnego automatu): a t+1 = ( z t, a t ); ( 2 ) w t = a t +1 ; automat klasy E (każdy wektor wejściowy jest taki sam, jak odpowiadający mu kod stanu następnego automatu): a t+1 = z t ; ( 3 ) w t = ( z t, a t ); gdzie: a t stan obecny automatu, a t+1 stan następny automatu, w t wektor wyjściowy automatu, z t wektor wejściowy automatu, - funkcja przejścia do stanu następnego, - funkcja wyjścia. Struktura wspólnego modelu automatu skończonego klas A, D i E pokazana jest na rys. 1, gdzie CL jest częścią kombinacyjną automatu, RG I jest rejestrem wejściowym, RG O jest rejestrem wyjściowym, natomiast RG rejestrem wewnętrznym, które przechowują kody stanów wewnętrznych automatów skończonych odpowiednio klas: E, D oraz A. Zawartość rejestru wejściowego RG I określona jest wartościami zmiennych wejściowych ze zbioru X= {x 1,...,x L }, zawartość wyjściowego rejestru RG O określona jest wartościami zmiennych wyjściowych ze zbioru Y={y 1,...,y N } a zawartość rejestru wewnętrznego RG określona jest wartościami funkcji przejść ze zbioru D={d 1,...,d R }. Kody stanów wewnętrznych automatów są określone na pomocą wartości zmiennych ze zbiorów G={g 1,...,g L }, Z={z 1,...,z N } i E= {e 1,...,e R }, formowanych na wyjściach rejestrów odpowiednio: RG I, RG O i RG.

3 Rys. 1 Struktura wspólnego modelu automatu skończonego klas A, D i E. 3. METODA KODOWANIA STANÓW Istota kodowania stanów wewnętrznych automatu w przedstawionej metodzie, polega na tym, aby uczynić wszystkie stany wewnętrzne różnymi od siebie, tzn. wszystkie kody stanów wewnętrznych automatu powinny być wzajemnie ortogonalne. Użycie do kodowania wektorów zmiennych wejściowych i wyjściowych, określonych wartościami zmiennych ze zbiorów G i Z, częściowo prowadzi do rozwiązania tego zadania. Dalsze kroki prowadzą do wprowadzenia minimalnej liczby dodatkowych zmiennych e 1,...,e R zbioru E i kodowania oddzielnych grup stanów kodem binarnym. Do rozwiązania zadania kodowania stanów wewnętrznych automatu skończonego budowana jest macierz W, której wartości pochodzą ze zbioru {1, 0, -}. Wiersze macierzy odpowiadają stanom wewnętrznym automatu, natomiast kolumny zmiennym ze zbiorów G i Z. Na przecięciu się wiersza odpowiadającego stanowi a i, a i A i kolumny, odpowiadającej zmiennej g j, g j G, stawia się jedynkę, jeżeli zmienna wejściowa x j wchodzi w warunek przejścia X(a i ) w postaci prostej, zero w postaci zanegowanej, a wartość nieokreśloną ( - ) jeśli x j nie wchodzi w warunek przejścia X(a i ) w stan a i. Wartość X(a i ) określa się w następujący sposób: jeśli wszystkie przejścia w stan a i, a i A odbywają się pod wpływem tego samego wektora zmiennych wejściowych X(a m,a i ), to X(a i ) := X(a m,a i ), w przeciwnym wypadku X(a i ) =. Na przecięciu się wiersza odpowiadającego stanowi a i, a A i kolumny, odpowiadającej zmiennej z j, z j Z stawiana jest jedynka, jeżeli y j Y(a i ), wartość nieokreśloną, jeżeli Y(a i ) =, i zero w innym wypadku, gdzie Y(a i ) podzbiór zmiennych wyjściowych, przyjmujących wartość jedynki logicznej przy przejściach w stan a i. Wartość Y (a i ) określa się w następujący sposób: jeśli na wszystkich przejściach w stan a i, a i A, formowany jest taki sam wektor wyjściowy Y(a m,a i ), to wówczas Y(a i ) := Y(a m,a i ), w innym wypadku Y(a i ) :=. Po utworzeniu macierzy W zadanie kodowania wygląda w sposób następujący: należy wprowadzić R zmiennych dodatkowych e 1,...,e R i zakodować wiersze macierzy W w taki sposób, aby wszystkie wiersze tej macierzy były wzajemnie ortogonalne. Aby rozwiązać to zadanie buduje się graf H ortogonalności wierszy macierzy W, czyli inaczej stanów automatu skończonego. Dwa wierzchołki i i j grafu H połączone są krawędzią, jeśli wiersze i i j macierzy W są wzajemnie ortogonalne. Dalsza część zadania prowadzi do znalezienia w grafie H minimalnej liczby T pełnych podgrafów H 1,...,H T, w których wszystkie wierzchołki są wzajemnie między sobą połączone i przypisania tym podgrafom binarnych kodów określonych wartościami zmiennych e 1,...,e R. Metoda ta może być realizowana za pomocą poniższego algorytmu: Algorytm 1 1. Budujemy graf H ortogonalności wierszy macierzy W.

4 2. Z grafu H usuwamy wierzchołki związane ze wszystkimi innymi wierzchołkami grafu (odpowiadające im wiersze macierzy W są ortogonalne z wszystkimi innymi wierszami). Podstawiamy t:=0. 3. Podstawiamy t:=t+1. W grafie H znajdujemy największy wspólny podgraf H t. 4. Z grafu H usuwamy wierzchołki wchodzące w skład podgrafu H t. Jeżeli zbiór wierzchołków grafu jest pusty przechodzimy do punktu 5, w przeciwnym wypadku do punktu Określamy liczbę R zmiennych dodatkowych e 1,...,e R, R = int log 2 T, gdzie T jest liczbą pełnych podgrafów. 6. Dla każdego podgrafu H t, określamy wartość C t, w następujący sposób: C t = a i A t C(a i ), ( 4 ) gdzie A t zbiór stanów wewnętrznych automatu skończonego, odpowiadających wierzchołkom podgrafu H t,t= 1, T, C(a i ) zbiór wszystkich przejść do stanu a i, a i A. 7. Dla każdego podgrafu H t, t= 1, T, przydzielamy kod binarny, określony wartościami zmiennych e 1,...,e R, przy czym kody z minimalną liczbą jedynek w pierwszej kolejności przydzielamy podgrafom, dla których wartość C t jest maksymalna. 8. Do macierzy W wprowadzamy dodatkowe kolumny odpowiadające zmiennym e 1,...,e R. Wartości tych kolumn są kodami odpowiadających podgrafów. 9. Określamy kody stanów wewnętrznych automatu skończonego. Każdy kod K(a i ) stanu a i, a i A, określony jest wartościami wiersza i macierzy W. Jeśli na przecięciu wiersza i i kolumny j, znajduje się jedynka, to zmienna odpowiadająca kolumnie j wchodzi w kod K (a i ) stanu a i w postaci prostej, jeśli zero w postaci zanegowanej, jeśli wartość nieokreślona zmienna nie wchodzi w kod K(a i ). 10. Koniec. Można zauważyć, że wypełnienie punktów 6 i 7 algorytmu kodowania prowadzi do uproszczenia realizacji na PLD funkcji wzbudzeń elementów pamięci ze zbioru D, ze względu na to, że jeżeli dla stanów o dużej liczbie przejść przydzielimy kod o minimalnej liczbie jedynek, to liczba iloczynów logicznych funkcji wzbudzeń elementów pamięci będzie mniejsza niż w przypadku innego sposobu przydzielania kodów. 4. ALGORYTM SYNTEZY Wykorzystanie wspólnego modelu automatu skończonego klas A, D i E przy syntezie automatów Mealy ego, prowadzi do dwóch głównych celów: minimalizacji liczby R dodatkowych elementów pamiętających, uproszczenie funkcji przejść i wyjść, czyli części kombinacyjnej automatu skończonego. Oba te cele są realizowane drogą wykorzystania modeli automatów klas D i E. Jednak nadmierne wykorzystanie tych modeli, związane z rozszczepieniem stanów, może prowadzić do zwiększenia liczby wierszy tablicy przejść automatu skończonego, jak również do zwiększenia stopnia złożoności funkcji przejść i wyjść. Dlatego też, przy syntezie automatów klas D i E niezbędna jest stała kontrola operacji rozszczepienia stanów, ze względu na parametr R (liczba dodatkowych elementów pamięci). Rozszczepienie stanów ma sens tylko wtedy gdy prowadzi ono do zmniejszenia wartości parametru R. W przedstawionym niżej algorytmie, rozszczepienie stanów jest przerywane jeśli prowadzi ono do wzrostu wartości R,

5 natomiast jako rezultat końcowy przyjmowane jest rozwiązanie, które po raz ostatni prowadziło do zmniejszenia R. Niech V(a i ) zbiór wektorów zmiennych wyjściowych, formowanych na przejściach do stanu a i, a i A. V(a i ) = { Y(a m,a i ) a m B(a i ) }, ( 5 ) gdzie B(a i ) zbiór stanów, z których możliwe są przejścia w stan a i. Stan a i, a i A, jest stanem automatu klasy D, tzn. a i A D, jeśli spełnione są warunki: V(a i ) = 1 ( 6 ) V(a i ) V(a j ) = przy i j dla każdego a j A. ( 7 ) Jeśli spełniony jest warunek (6) to znaczy, że na wszystkich przejściach do stanu a i formowany jest ten sam wektor zmiennych wyjściowych. Spełnienie warunku (7) zapewnia, że wektor wyjściowy Y(a i ) nie jest formowany przy przejściach w inne stany automatu skończonego. Niech V D (a i ) zbiór wektorów zmiennych wyjściowych, formowanych na przejściach do innych stanów, tj. V D (a i ) podzbiór zbioru V(a i ), V D (a i ) V(a i ), dla którego spełnione są warunki (6) i (7). Niech A* D zbiór stanów, dla których naruszono warunek (6), tzn. takich stanów, które należy rozszczepić, gdyż mogą to być potencjalne stany automatu klasy D. Niech U(a i ) zbiór wszystkich wektorów opisujących warunki przejścia w stan a i, a i A. Stan a i jest stanem automatu klasy E, tzn. a i A E, jeżeli spełnione są warunki: U(a i ) = 1 ( 8 ) U(a i ) U(a j ) = przy i j dla każdego a j A. ( 9 ) Niech U E (a i ) zbiór wektorów opisujących warunki przejścia w stan a i, których nie ma przy przejściach w inne stany automatu, tzn. spełniony jest warunek (9), U E (a i ) U(a i ), natomiast A* E zbiór stanów dla których naruszono warunek (8), czyli zbiór stanów, które należy rozszczepić, w celu otrzymania stanów automatu klasy E. Niech S(a i ) koszt rozszczepienia stanu a i określone jako liczba wierszy, o którą zwiększy się tablica przejść automatu w rezultacie rozszczepienia stanu a i, a i A* D A* E. S(a i ) = V(a i ) - 1 P(a i ), jeżeli a i A* D ; ( 10 ) S(a i ) = U(a i ) - 1 P(a i ), jeżeli a i A* E ; gdzie P(a i ) zbiór wszystkich przejść ze stanu a i. W procesie syntezy, właściwą wartość S(a i ) wybiera się w zależności od tego, w jaki sposób odbywa się rozszczepienie: przy użyciu wektorów zmiennych wyjściowych (a i A* D ), czy przy użyciu wektorów zmiennych wejściowych (a i A* E ). Algorytm syntezy wspólnego modelu automatu Mealy ego klas A, D i E wygląda w następujący sposób: Algorytm 2 1. Podstawiamy R* := Budujemy macierz kodowania stanów wewnętrznych W, wykonujemy algorytm 1 kodowania stanów, w wyniku którego otrzymujemy wartość R. 3. Jeśli R R* lub R=0, to przechodzimy do punktu 7, w przeciwnym wypadku, podstawiamy R*:= R i zapamiętujemy rezultaty syntezy (tablicę przejść oraz kody stanów automatu).

6 4. Określamy zbiory V(a i ), V D (a i ), U(a i ) i U E (a i ), a i A oraz zbiory A* D i A* E. Jeżeli suma zbiorów A* D A* E =, to wykonujemy przejście do punktu 7, w przeciwnym wypadku - do punktu Do rozszczepienia wybieramy stan a i, a i A* D A* E, według poniższych kryteriów: a) max( V D (a i ), U E (a i ) ) = max b) S(a i ) = min. Pierwsze kryterium zapewnia największą efektywność wykorzystania automatów klas D i E, drugie kryterium minimalny wzrost liczby wierszy w tablicy przejść automatu. 6. Rozszczepiamy stan a i, wybrany w poprzednim punkcie. Jeżeli V D (a i ) U E (a i ), to rozszczepienie wykonujemy przy użyciu wektorów zmiennych wyjściowych V(a i ), w przeciwnym wypadku przy użyciu wektorów zmiennych wejściowych U(a i ). Wykonujemy przejście do punktu Jako rezultat końcowy przyjmujemy rozwiązanie zapamiętane w punkcie Budujemy strukturalną tablicę przejść automatu skończonego, określającą równania logiczne realizowanych funkcji. 9. Koniec. Można zauważyć, że jeśli V D (a i ) = U E (a i ), to rozszczepienie stanu a i wykonuje się przy użyciu wektora zmiennych wyjściowych, ponieważ pozwala to z większym prawdopodobieństwem otrzymywać ortogonalne wiersze macierzy W. Na początku algorytmu 2 wartość R* przyjmuje się znacznie większą, niż to konieczne, dlatego przynajmniej raz jest wykonywane kodowanie stanów i zapamiętanie otrzymanego rezultatu syntezy w punkcie 3 algorytmu. W przypadku kiedy A D = A E = A* D = A* E =, wykonanie algorytmu 2 jest jednoznaczne z syntezą automatu skończonego klasy A. 5. BADANIA EKSPERYMENTALNE Opisany wyżej algorytm syntezy został zaimplementowany w pakiecie ZUBR. Pakiet ten został opracowany na Wydziale Informatyki Politechniki Białostockiej. Proponowana metoda syntezy została wykorzystana do realizacji przykładów testowych ze zbioru MCNC [19]. W tabeli 1 zostały przedstawione podstawowe parametry testowanych przykładów, takie jak: L liczba wejść, N liczba wyjść, M liczba stanów, P liczba wierszy w tablicy przejść. Została także obliczona liczba dodatkowych przerzutników, użytych do zakodowania stanów wewnętrznych automatu, odpowiednio R A dla syntezy automatu klasy A (Mealy ego) oraz R W dla syntezy automatu o modelu wspólnym, który opisano w tej pracy. Parametr R%=(R A R W )/max(r A,R W ) jest procentową różnicą pomiędzy tymi wartościami. Tabela 1. Porównanie liczby dodatkowych przerzutników dla FSM klasy A i modelu wspólnym Nazwa L N M P R A R W R% Bbsse % Ex % Keyb % Mc % Pma % s % s % S % Średnia 46%

7 Jak wynika z tabeli 1 dla syntezy wspólnych modeli FSM uzyskano zmniejszenie liczby dodatkowych elementów pamięci średnio o 46%, w najlepszym przypadku o 100% (dla przykładów mc i s1). Uzyskane zmniejszenie liczby dodatkowych przerzutników związane jest z tym, że do kodowania stanów używane są rejestry wejściowe i wyjściowe układu PLD. W tabeli 2 przedstawiono porównanie kosztów realizacji części kombinacyjnej testowanych przykładów dla realizacji jako automat klasy A oraz jako wspólny model automatu skończonego. Wartości C A4, C A5, C A8 oznaczają koszt (liczbę użytych makrokomórek) przy realizacji jako automat klasy A odpowiednio dla układów programowalnych, posiadających makrokomórki z 4, 5 i 8 dołączonymi termami, Wielkości C W4, C W5, C W8 oznaczają te same parametry ale dla realizacji jako wspólny model automatu skończonego. Wielkości C4%, C5%, C8%, CAV% oznaczają różnice procentowe pomiędzy poszczególnymi wartościami kosztów realizacji, odpowiednio dla liczby termów podłączonych do jednej makrokomórki równej 4, 5, 8 oraz wartość średnią tych parametrów. Tabela 2. Porównanie kosztów realizacji dla FSM klasy A oraz FSM o modelu wspólnym Nazwa C A4 C A5 C A8 C W4 C W5 C W8 C4% C5% C8% CAV% Bbsse % 14% 7% 13% Ex % 20% 8% 18% Keyb % 37% 33% 34% Mc % 0% 14% 5% Pma % 24% 27% 26% s % 69% 66% 70% s % 43% 40% 46% S % 15% 0% 11% Średnia 32% 28% 24% 28% Można zauważyć, że spadek kosztów dla realizacji układów testowych jako model wspólny w stosunku do realizacji jako normalny automat Mealy ego (klasy A) wyniósł 28%, przy czym jest to wartość średnia. Maksymalny zysk w liczbie makrokomórek został uzyskany dla przykładu s1 i wyniósł on 74%, czyli w tym wypadku, prawie 4 razy lepsze okazało się wykorzystanie wspólnego modelu automatu skończonego. 6. PODSUMOWANIE W pracy został pokazany wspólny model automatu skończonego typu Mealy ego klas A, D i E. Opisany algorytm syntezy okazał się efektywny w stosunku do tradycyjnej metody syntezy automatu Mealy ego zarówno jeżeli chodzi o liczbę dodatkowych elementów pamięci oraz złożoność kombinacyjnej części automatu skończonego. Algorytm ten ma jednak pewne ograniczenia. Wykorzystane w nim specyficzne cechy architektur PLD takie jak: konieczność istnienia przerzutnika w pętli sprzężenia zwrotnego oraz wykorzystanie jednocześnie kombinacyjnych i buforowanych wejść i wyjść danego układu PLD, wymuszają korzystanie ze współczesnych struktur, które te możliwości posiadają (np. MAX 9000, FLEX 10K). Dalszy rozwój badań powinien iść w kierunku zbudowania także wspólnego modelu automatu typu Moore a [11], który pozwoliłby efektywnie zastosować wyżej wymienione cechy współczesnych układów programowalnych. Różnorodność obecnie stosowanych układów programowalnych oraz istnienie szeregu modeli automatów skończonych powoduje konieczność opracowania odpowiedniej strategii wyboru właściwego modelu automatu skończonego do odpowiedniej architektury układu programowalnego na jak najwcześniejszym etapie syntezy logicznej.

8 LITERATURA I ŹRÓDŁA [1] Armstrong D.B.: A programmed algorithm for assigning internal codes to sequential machines, IRE Trans. Electron. Comput., vol. EC-11, pp , Aug [2] Avedillo M., Quintana J., Huertas J.: State merging and splitting via state assignment: a new FSM synthesis algorithm, IEE Proceedings. Part E, Computers and Digital Techniques, vol. 141, No. 4, July 1994, pp [3] DeMicheli G., Sangiovanni-Vincentelli A., and Villa T.: Computer-aided synthesis of PLA-based finite state machines, Proc. of the Int. Conf. on Computer-Aided Design, Santa Clara, USA, November 1983, pp [4] DeMicheli G., Brayton R.K., Sangiovanni-Vincentelli A.: Optimal state assignment for finite state machines, IEEE Trans. on Computer-Aided Design, vol. CAD-4, pp , July [5] Devadas S., Ma H.-K., Newton R., Sangiovanni-Vincentelli A.: MUSTANG: State Assigment of Finite State Machines Targeting Multilevel Logic Implementations, IEEE Transactions on Computer-Aided Design, Dec 1988, Vol. 7, No. 12, pp [6] Dolotta T.A. and McCluskey E.J.: The coding of internal states of sequential machines, IEEE Trans. Electron. Comput., vol. EC-13, pp , Oct [7] Józwiak L., Slusarczyk A.: A New State Assignment Method Targeting FPGA Implementations, Proc. of EUROMICRO Symposium on Digital System Design DSD 2000, Maastricht, Netherlands, September 5-7, 2000, pp [8] Lin B., Newton R.: Synthesis of Multiple Level Logic from Symbolic High-Level Description Languages, Proc. of the International Conference on VLSI, Munchen, Germany 1989, pp [9] Łuba T., Jasinski K.,Zbierzchowski B.: Specjalizowane uklady cyfrowe w strukturach PLD i FPGA, Wyd. Komunikacji i Łączności, Warszawa, 1997, 248 s. [10] Mealy G.H.: Method for synthesizing sequential circuits, Bell System Techn. Jourhal, vol.34, 1955, pp [11] Moore E.F.: Gedanken-experiments on sequential machines, In C.Shannon and J.McCarthy editors. - Automata Studies, Princeton University Press, 1956, pp [12] Sentovich E.M., Singh K.J., Lavagno L., Moon C., Murgai R., Saldanha A., Savoj H., Stephan P.R., Brayton R.K., Sangiovanni-Vincentelli A.: SIS: A system for sequentional circuit synthesis, Memorandum No. UCB/ERL M92/41, Electronics Research Laboratory, Department of Electrical Engineering and Computer Science, University of California, Berkley, May p. [13] Solovjev V., Chyzy M.: Refined CPLD macrocell architecture for the effective FSM implementation, Proc. of the 25th EUROMICRO Conference, Milan, Italy, September 8-10, 1999, Vol. 1, pp [14] Solovjev V.: Synthesis of Sequential Circuits on Programmable Logic Devices Based on New Models of Finite State Machines, Proc. of the EUROMICRO Symposium on DIGITAL SYSTEMS DESIGN (DSD 2001), September 4-6, 2001, Warsaw, Poland, pp [15] Solovjev V.: Projektowanie układów cyfrowych na bazie PLD, Hot-Line Telekom, Moskwa 2001, 638 s. [16] Solovjev V., Chyzy M.: Models of the finite state machines, Proc. of the Sixth Int. Conf. on Methods and Models in Automation and Robotics (MMAR 2000), August 2000, Miedzyzdroje, Poland, Vol 2, pp [17] Sołowjew W., Bułatowa I.: Synteza automatów skończonych klasy D na programowalnych układach logicznych, in Proc. of the Conf. Computer-Aided Design of Discrete Devices (CAD DD 01), Minsk, Białoruś 2001, Vol. 2, pp [18] Villa T., Sangiovanni-Vincentelli A.: NOVA: State Assignment for Finite State Machines for Optional Two-Level Logic Implementation, IEEE Trans. on Computer-Aided Design, vol. C-9, pp , Sept [19] Yang S.: Logic synthesis and optimization benchmarks user guide. Version 3.0., Technical Report, Microelectronics Center of North Carolina, p.

WYKORZYSTYWANIE WYJŚCIOWYCH MAKROKOMÓREK UKŁADU PLD W

WYKORZYSTYWANIE WYJŚCIOWYCH MAKROKOMÓREK UKŁADU PLD W WYKORZYSTYWANIE WYJŚCIOWYCH MAKROKOMÓREK UKŁADU PLD W CHARAKTERZE ELEMENTÓW PAMIĘCI AUTOMATU SKOŃCZONEGO Adam Klimowicz, Walery Sołowjew Wydział Informatyki Politechniki Białostockiej, ul. Wiejska 45A,

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH Monika

Bardziej szczegółowo

SYNTEZA JEDNOSTEK STERUJĄCYCH W STRUKTURACH PROGRAMOWALNYCH

SYNTEZA JEDNOSTEK STERUJĄCYCH W STRUKTURACH PROGRAMOWALNYCH SYNTEZA JEDNOSTEK STERUJĄCYCH W STRUKTURACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie Alexander A. Barkalov Instytut Informatyki

Bardziej szczegółowo

Synteza strukturalna automatu Moore'a i Mealy

Synteza strukturalna automatu Moore'a i Mealy Synteza strukturalna automatu Moore'a i Mealy (wersja robocza - w razie zauważenia błędów proszę o uwagi na mail'a) Załóżmy, że mamy następujący graf automatu z 2 y 0 q 0 z 1 z 1 z 0 z 0 y 1 z 2 q 2 z

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów synchronicznych

Sławomir Kulesza. Projektowanie automatów synchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów synchronicznych Wykład dla studentów III roku Informatyki Wersja 2.0, 20/12/2012 Automaty skończone Automat Mealy'ego Funkcja wyjść: Yt = f(st,

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 3 Techniki Mikroprocesorowe dr inż. Artur Cichowski Automat skończony jest przetwornikiem ciągu symboli wejściowych na ciąg symboli wyjściowych. Zbiory symboli wejściowych x X i wyjściowych y

Bardziej szczegółowo

Mikroprogramowany układ sterujący z współdzieleniem kodów oraz rozszerzonym formatem mikroinstrukcji

Mikroprogramowany układ sterujący z współdzieleniem kodów oraz rozszerzonym formatem mikroinstrukcji KNWS 7 Mikroprogramowany układ sterujący z współdzieleniem kodów oraz rozszerzonym formatem mikroinstrukcji Alexander Barkalov, Larysa Titarenko, Jacek Bieganowski Streszczenie: W artykule przedstawiona

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów. Rafał Walkowiak Wersja /2015

Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów. Rafał Walkowiak Wersja /2015 Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów synchronicznych Rafał Walkowiak Wersja.2 24/25 UK Funkcje wzbudzeń UK Funkcje wzbudzeń Pamieć Pamieć UK Funkcje wyjściowe

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Metoda Karnaugh. B A BC A

Metoda Karnaugh. B A BC A Metoda Karnaugh. Powszechnie uważa się, iż układ o mniejszej liczbie elementów jest tańszy i bardziej niezawodny, a spośród dwóch układów o takiej samej liczbie elementów logicznych lepszy jest ten, który

Bardziej szczegółowo

Automat skończony FSM Finite State Machine

Automat skończony FSM Finite State Machine Automat skończony FSM Finite State Machine Projektowanie detektora sekwencji Laboratorium z Elektroniki Współczesnej A. Skoczeń, KOiDC, WFiIS, AGH, 2019 AGH, WFiIS, Elektronika Współczesna 1 Deterministyczny

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 12: sekwencyjne układy przełączające

Technika Cyfrowa 1 wykład 12: sekwencyjne układy przełączające Technika Cyfrowa 1 wykład 12: sekwencyjne układy przełączające Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sekwencyjny układ przełączający układ przełączający

Bardziej szczegółowo

Materiały pomocnicze do ćwiczeń z podstaw techniki cyfrowej (przygotował R.Walkowiak) Dla studiów niestacjonarnych rok AK 2017/18

Materiały pomocnicze do ćwiczeń z podstaw techniki cyfrowej (przygotował R.Walkowiak) Dla studiów niestacjonarnych rok AK 2017/18 Materiały pomocnicze do ćwiczeń z podstaw techniki cyfrowej (przygotował R.Walkowiak) Dla studiów niestacjonarnych rok AK 2017/18 ZADANIE 1 Komparator szeregowy 2 liczb Specyfikacja wymagań dla układu

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 1. Układy kombinacyjne i sekwencyjne - przypomnienie

SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 1. Układy kombinacyjne i sekwencyjne - przypomnienie SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 1 Układy kombinacyjne i sekwencyjne - przypomnienie SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 2 Stan

Bardziej szczegółowo

Reprezentacje grafów nieskierowanych Reprezentacje grafów skierowanych. Wykład 2. Reprezentacja komputerowa grafów

Reprezentacje grafów nieskierowanych Reprezentacje grafów skierowanych. Wykład 2. Reprezentacja komputerowa grafów Wykład 2. Reprezentacja komputerowa grafów 1 / 69 Macierz incydencji Niech graf G będzie grafem nieskierowanym bez pętli o n wierzchołkach (x 1, x 2,..., x n) i m krawędziach (e 1, e 2,..., e m). 2 / 69

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów

Wstęp do Techniki Cyfrowej... Teoria automatów Wstęp do Techniki Cyfrowej... Teoria automatów Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych. Zwiększenie stopnia

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD

Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD BIULETYN WAT VOL. LVIII, NR 3, 29 Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD DARIUSZ KANIA 1, WALDEMAR GRABIEC 1 Politechnika Śląska, Wydział

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające

Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Liczniki klasyfikacja Licznik asynchroniczny:

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

0 + 0 = 0, = 1, = 1, = 0.

0 + 0 = 0, = 1, = 1, = 0. 5 Kody liniowe Jak już wiemy, w celu przesłania zakodowanego tekstu dzielimy go na bloki i do każdego z bloków dodajemy tak zwane bity sprawdzające. Bity te są w ścisłej zależności z bitami informacyjnymi,

Bardziej szczegółowo

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR BIULETYN WAT VOL. LVI, NR 3, 2007 Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR DARIUSZ KANIA*, WALDEMAR GRABIEC *Politechnika Śląska, Wydział Automatyki, Elektroniki i Informatyki,

Bardziej szczegółowo

xx + x = 1, to y = Jeśli x = 0, to y = 0 Przykładowy układ Funkcja przykładowego układu Metody poszukiwania testów Porównanie tabel prawdy

xx + x = 1, to y = Jeśli x = 0, to y = 0 Przykładowy układ Funkcja przykładowego układu Metody poszukiwania testów Porównanie tabel prawdy Testowanie układów kombinacyjnych Przykładowy układ Wykrywanie błędów: 1. Sklejenie z 0 2. Sklejenie z 1 Testem danego uszkodzenia nazywa się takie wzbudzenie funkcji (wektor wejściowy), które daje błędną

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Teoria automatów

Podstawy Techniki Cyfrowej Teoria automatów Podstawy Techniki Cyfrowej Teoria automatów Uwaga Niniejsza prezentacja stanowi uzupełnienie materiału wykładowego i zawiera jedynie wybrane wiadomości teoretyczne dotyczące metod syntezy układów asynchronicznych.

Bardziej szczegółowo

Kodowanie i kompresja Tomasz Jurdziński Studia Wieczorowe Wykład Kody liniowe - kodowanie w oparciu o macierz parzystości

Kodowanie i kompresja Tomasz Jurdziński Studia Wieczorowe Wykład Kody liniowe - kodowanie w oparciu o macierz parzystości Kodowanie i kompresja Tomasz Jurdziński Studia Wieczorowe Wykład 13 1 Kody liniowe - kodowanie w oparciu o macierz parzystości Przykład Różne macierze parzystości dla kodu powtórzeniowego. Co wiemy z algebry

Bardziej szczegółowo

Plan wykładu. Przykład. Przykład 3/19/2011. Przykład zagadnienia transportowego. Optymalizacja w procesach biznesowych Wykład 2 DECYZJA?

Plan wykładu. Przykład. Przykład 3/19/2011. Przykład zagadnienia transportowego. Optymalizacja w procesach biznesowych Wykład 2 DECYZJA? /9/ Zagadnienie transportowe Optymalizacja w procesach biznesowych Wykład --9 Plan wykładu Przykład zagadnienia transportowego Sformułowanie problemu Własności zagadnienia transportowego Metoda potencjałów

Bardziej szczegółowo

DEKOMPOZYCJA SYMBOLICZNA WIELOWARTOŚCIOWYCH FUNKCJI IMPLEMENTOWANYCH W TECHNOLOGII FPGA

DEKOMPOZYCJA SYMBOLICZNA WIELOWARTOŚCIOWYCH FUNKCJI IMPLEMENTOWANYCH W TECHNOLOGII FPGA MARIUSZ WIŚNIEWSKI, STANISŁAW DENIZIAK DEKOMPOZYCJA SYMBOLICZNA WIELOWARTOŚCIOWYCH FUNKCJI IMPLEMENTOWANYCH W TECHNOLOGII FPGA SYMBOLIC DECOMPOSITION OF MULTI-VALUED FUNCTIONS IMPLEMENTED IN FPGAs Streszczenie

Bardziej szczegółowo

UKŁADY MIKROPROGRAMOWALNE

UKŁADY MIKROPROGRAMOWALNE UKŁAD MIKROPROGRAMOWALNE Układy sterujące mogą pracować samodzielnie, jednakże w przypadku bardziej złożonych układów (zwanych zespołami funkcjonalnymi) układ sterujący jest tylko jednym z układów drugim

Bardziej szczegółowo

TEORIA GRAFÓW I SIECI

TEORIA GRAFÓW I SIECI TEORIA GRAFÓW I SIECI Temat nr 7: Przydziały w grafach i sieciach dr hab. inż. Zbigniew TARAPATA, prof. WAT e-mail: zbigniew.tarapata@wat.edu.pl http://tarapata.edu.pl tel.: 26-83-95-04, p.225/00 Zakład

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212 KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki ów Cyfrowych ćwiczenie Temat: Automat asynchroniczny. Cel ćwiczenia Celem ćwiczenia jest nabycie praktycznej umiejętności projektowania

Bardziej szczegółowo

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie Opracował: dr hab. inż. Jan Magott KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 207 Temat: Automaty Moore'a i Mealy 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Ogromną rolę w technice cyfrowej spełniają układy programowalne, często określane nazwą programowalnych modułów logicznych lub krótko hasłem FPLD

Bardziej szczegółowo

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Podstawy Automatyki Człowiek- najlepsza inwestycja Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Politechnika Warszawska Instytut Automatyki i Robotyki Dr inż.

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

Problem kodowania w automatach

Problem kodowania w automatach roblem kodowania w automatach Kodowanie stanów to przypisanie kolejnym stanom automatu odpowiednich kodów binarnych. Minimalna liczba bitów b potrzebna do zakodowania automatu, w którym liczność zbioru

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

Układy asynchroniczne

Układy asynchroniczne Układy asynchroniczne Model układu asynchronicznego y x n UK y m układ kombinacyjny q k BP q k blok pamięci realizuje opóźnienia adeusz P x x t s tan stabilny s: δ(s,x) = s automacie asynchronicznym wszystkie

Bardziej szczegółowo

5. Rozwiązywanie układów równań liniowych

5. Rozwiązywanie układów równań liniowych 5. Rozwiązywanie układów równań liniowych Wprowadzenie (5.1) Układ n równań z n niewiadomymi: a 11 +a 12 x 2 +...+a 1n x n =a 10, a 21 +a 22 x 2 +...+a 2n x n =a 20,..., a n1 +a n2 x 2 +...+a nn x n =a

Bardziej szczegółowo

Minimalizacja form boolowskich

Minimalizacja form boolowskich Sławomir Kulesza Technika cyfrowa Minimalizacja form boolowskich Wykład dla studentów III roku Informatyki Wersja 1.0, 05/10/2010 Minimalizacja form boolowskich Minimalizacja proces przekształcania form

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA I WSPOMAGANIA DECYZJI Rozproszone programowanie produkcji z wykorzystaniem

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

ZAGADNIENIE TRANSPORTOWE

ZAGADNIENIE TRANSPORTOWE ZAGADNIENIE TRANSPORTOWE ZT jest specyficznym problemem z zakresu zastosowań programowania liniowego. ZT wykorzystuje się najczęściej do: optymalnego planowania transportu towarów, przy minimalizacji kosztów,

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów asynchronicznych

Sławomir Kulesza. Projektowanie automatów asynchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów asynchronicznych Wykład dla studentów III roku Informatyki Wersja 3.0, 03/01/2013 Automaty skończone Automat skończony (Finite State Machine FSM)

Bardziej szczegółowo

Metoda simpleks. Gliwice

Metoda simpleks. Gliwice Sprowadzenie modelu do postaci bazowej Sprowadzenie modelu do postaci bazowej Przykład 4 Model matematyczny z Przykładu 1 sprowadzić do postaci bazowej. FC: ( ) Z x, x = 6x + 5x MAX 1 2 1 2 O: WB: 1 2

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Rozdział 1 PROGRAMOWANIE LINIOWE

Rozdział 1 PROGRAMOWANIE LINIOWE Wprowadzenie do badań operacyjnych z komputerem Opisy programów, ćwiczenia komputerowe i zadania. T. Trzaskalik (red.) Rozdział 1 PROGRAMOWANIE LINIOWE 1.2 Ćwiczenia komputerowe Ćwiczenie 1.1 Wykorzystując

Bardziej szczegółowo

Projektowanie automatów z użyciem VHDL

Projektowanie automatów z użyciem VHDL Projektowanie automatów z użyciem VHDL struktura automatu i jego modelu w VHDL przerzutnik T jako automat przykłady automatów z wyjściami typu: Moore'a Mealy stanu kodowanie stanów automatu Wykorzystano

Bardziej szczegółowo

Klasyczne zagadnienie przydziału

Klasyczne zagadnienie przydziału Klasyczne zagadnienie przydziału Można wyodrębnić kilka grup problemów, w których zadaniem jest odpowiednie rozmieszczenie posiadanych zasobów. Najprostszy problem tej grupy nazywamy klasycznym zagadnieniem

Bardziej szczegółowo

Kolejny krok iteracji polega na tym, że przechodzimy do następnego wierzchołka, znajdującego się na jednej krawędzi z odnalezionym już punktem, w

Kolejny krok iteracji polega na tym, że przechodzimy do następnego wierzchołka, znajdującego się na jednej krawędzi z odnalezionym już punktem, w Metoda Simpleks Jak wiadomo, problem PL z dowolną liczbą zmiennych można rozwiązać wyznaczając wszystkie wierzchołkowe punkty wielościanu wypukłego, a następnie porównując wartości funkcji celu w tych

Bardziej szczegółowo

Sortowanie topologiczne skierowanych grafów acyklicznych

Sortowanie topologiczne skierowanych grafów acyklicznych Sortowanie topologiczne skierowanych grafów acyklicznych Metody boolowskie w informatyce Robert Sulkowski http://robert.brainusers.net 23 stycznia 2010 1 Definicja 1 (Cykl skierowany). Niech C = (V, A)

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 1: kody. Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej

Technika Cyfrowa 1 wykład 1: kody. Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej Technika Cyfrowa 1 wykład 1: kody Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje, p. 225 C-3: PN: 12:45-15:15, PT: 14:30-16:00

Bardziej szczegółowo

Spacery losowe generowanie realizacji procesu losowego

Spacery losowe generowanie realizacji procesu losowego Spacery losowe generowanie realizacji procesu losowego Michał Krzemiński Streszczenie Omówimy metodę generowania trajektorii spacerów losowych (błądzenia losowego), tj. szczególnych procesów Markowa z

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Podstawy Automatyki. Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych Instytut Automatyki i Robotyki Warszawa, 2015 Układy o programach liniowych - Przykład Zaprojektować procesowo-zależny układ sterowania

Bardziej szczegółowo

Matematyczne Podstawy Informatyki

Matematyczne Podstawy Informatyki Matematyczne Podstawy Informatyki dr inż. Andrzej Grosser Instytut Informatyki Teoretycznej i Stosowanej Politechnika Częstochowska Rok akademicki 2013/2014 Informacje podstawowe 1. Konsultacje: pokój

Bardziej szczegółowo

Rozwiązywanie układów równań liniowych

Rozwiązywanie układów równań liniowych Rozwiązywanie układów równań liniowych Marcin Orchel 1 Wstęp Jeśli znamy macierz odwrotną A 1, to możęmy znaleźć rozwiązanie układu Ax = b w wyniku mnożenia x = A 1 b (1) 1.1 Metoda eliminacji Gaussa Pierwszy

Bardziej szczegółowo

TEORETYCZNE PODSTAWY INFORMATYKI

TEORETYCZNE PODSTAWY INFORMATYKI 1 TEORETYCZNE PODSTAWY INFORMATYKI 16/01/2017 WFAiS UJ, Informatyka Stosowana I rok studiów, I stopień Repetytorium złożoność obliczeniowa 2 Złożoność obliczeniowa Notacja wielkie 0 Notacja Ω i Θ Rozwiązywanie

Bardziej szczegółowo

POISSONOWSKA APROKSYMACJA W SYSTEMACH NIEZAWODNOŚCIOWYCH

POISSONOWSKA APROKSYMACJA W SYSTEMACH NIEZAWODNOŚCIOWYCH POISSONOWSKA APROKSYMACJA W SYSTEMACH NIEZAWODNOŚCIOWYCH Barbara Popowska bpopowsk@math.put.poznan.pl Politechnika Poznańska http://www.put.poznan.pl/ PROGRAM REFERATU 1. WPROWADZENIE 2. GRAF JAKO MODEL

Bardziej szczegółowo

Algebra Boole a i jej zastosowania

Algebra Boole a i jej zastosowania lgebra oole a i jej zastosowania Wprowadzenie Niech dany będzie zbiór dwuelementowy, którego elementy oznaczymy symbolami 0 oraz 1, tj. {0, 1}. W zbiorze tym określamy działania sumy :, iloczynu : _ oraz

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych.

Bardziej szczegółowo

D. Miszczyńska, M.Miszczyński KBO UŁ 1 GRY KONFLIKTOWE GRY 2-OSOBOWE O SUMIE WYPŁAT ZERO

D. Miszczyńska, M.Miszczyński KBO UŁ 1 GRY KONFLIKTOWE GRY 2-OSOBOWE O SUMIE WYPŁAT ZERO D. Miszczyńska, M.Miszczyński KBO UŁ GRY KONFLIKTOWE GRY 2-OSOBOWE O SUMIE WYPŁAT ZERO Gra w sensie niżej przedstawionym to zasady którymi kierują się decydenci. Zakładamy, że rezultatem gry jest wypłata,

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

METODA SYMPLEKS. Maciej Patan. Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski

METODA SYMPLEKS. Maciej Patan. Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski METODA SYMPLEKS Maciej Patan Uniwersytet Zielonogórski WSTĘP Algorytm Sympleks najpotężniejsza metoda rozwiązywania programów liniowych Metoda generuje ciąg dopuszczalnych rozwiązań x k w taki sposób,

Bardziej szczegółowo

Projektowanie Scalonych Systemów Wbudowanych VERILOG

Projektowanie Scalonych Systemów Wbudowanych VERILOG Projektowanie Scalonych Systemów Wbudowanych VERILOG OPIS BEHAWIORALNY proces Proces wątek sterowania lub przetwarzania danych, niezależny w sensie czasu wykonania, ale komunikujący się z innymi procesami.

Bardziej szczegółowo

Metody uporządkowania

Metody uporządkowania Metody uporządkowania W trakcie faktoryzacji macierzy rzadkiej ilość zapełnień istotnie zależy od sposobu numeracji równań. Powstaje problem odnalezienia takiej numeracji, przy której: o ilość zapełnień

Bardziej szczegółowo

Definicja układu kombinacyjnego była stosunkowo prosta -tabela prawdy. Opis układu sekwencyjnego jest zadaniem bardziej złożonym.

Definicja układu kombinacyjnego była stosunkowo prosta -tabela prawdy. Opis układu sekwencyjnego jest zadaniem bardziej złożonym. 3.4. GRF UTOMTU, TBELE PRZEJŚĆ / WYJŚĆ Definicja układu kombinacyjnego była stosunkowo prosta -tabela prawdy. Opis układu sekwencyjnego jest zadaniem bardziej złożonym. Proste przypadki: Opis słowny, np.:

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

Układy asynchroniczne

Układy asynchroniczne Układy asynchroniczne Model układu sekwencyjnego Model układu asynchronicznego (synchronicznego) y 1 x n UK y m układ kombinacyjny Z clock t 1 q 1 k B x s tan stabilny s: δ(s,x) = s x blok pamięci jest

Bardziej szczegółowo

2. SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH NA BAZIE DEMULTIFLEK3ERÓW

2. SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH NA BAZIE DEMULTIFLEK3ERÓW ZESZYTY NAUKOWE POLITECHNIKI ŁĘSKIEJ 1975 Serias Automatyka z. 34 Nr kol. 456 Ferdynand Wagner Liarla n Budka Instytut Automatyki Przemysłowej i Pomiarów SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH HA BAZIE

Bardziej szczegółowo

W ujęciu abstrakcyjnym automat parametryczny <A> można wyrazić następującą "ósemką":

W ujęciu abstrakcyjnym automat parametryczny <A> można wyrazić następującą ósemką: KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 206 Temat: Automat parametryczny. Wiadomości podstawowe Automat parametryczny jest automatem skończonym

Bardziej szczegółowo

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia:

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia: Warszawa 207 Cel ćwiczenia rachunkowego Podczas ćwiczenia poruszane będą następujące zagadnienia: modelowanie i synteza kombinacyjnych układów przełączających; minimalizacja funkcji przełączającej; projektowanie

Bardziej szczegółowo

Rozwiązywanie układów równań liniowych metody dokładne Materiały pomocnicze do ćwiczeń z metod numerycznych

Rozwiązywanie układów równań liniowych metody dokładne Materiały pomocnicze do ćwiczeń z metod numerycznych Rozwiązywanie układów równań liniowych metody dokładne Materiały pomocnicze do ćwiczeń z metod numerycznych Piotr Modliński Wydział Geodezji i Kartografii PW 13 stycznia 2012 P. Modliński, GiK PW Rozw.

Bardziej szczegółowo

3. Macierze i Układy Równań Liniowych

3. Macierze i Układy Równań Liniowych 3. Macierze i Układy Równań Liniowych Rozważamy równanie macierzowe z końcówki ostatniego wykładu ( ) 3 1 X = 4 1 ( ) 2 5 Podstawiając X = ( ) x y i wymnażając, otrzymujemy układ 2 równań liniowych 3x

Bardziej szczegółowo

Grafem nazywamy strukturę G = (V, E): V zbiór węzłów lub wierzchołków, Grafy dzielimy na grafy skierowane i nieskierowane:

Grafem nazywamy strukturę G = (V, E): V zbiór węzłów lub wierzchołków, Grafy dzielimy na grafy skierowane i nieskierowane: Wykład 4 grafy Grafem nazywamy strukturę G = (V, E): V zbiór węzłów lub wierzchołków, E zbiór krawędzi, Grafy dzielimy na grafy skierowane i nieskierowane: Formalnie, w grafach skierowanych E jest podzbiorem

Bardziej szczegółowo

Definicja 2. Twierdzenie 1. Definicja 3

Definicja 2. Twierdzenie 1. Definicja 3 INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 205 temat: ZASTOSOWANIE JĘZYKA WYRAŻEŃ

Bardziej szczegółowo

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 1 Techniki Mikroprocesorowe dr inż. Artur Cichowski ix jy i j {0,1} {0,1} Dla układów kombinacyjnych stan dowolnego wyjścia y i w danej chwili czasu zależy wyłącznie od aktualnej kombinacji stanów

Bardziej szczegółowo

Zagadnienia egzaminacyjne INFORMATYKA. stacjonarne. I-go stopnia. (INT) Inżynieria internetowa STOPIEŃ STUDIÓW TYP STUDIÓW SPECJALNOŚĆ

Zagadnienia egzaminacyjne INFORMATYKA. stacjonarne. I-go stopnia. (INT) Inżynieria internetowa STOPIEŃ STUDIÓW TYP STUDIÓW SPECJALNOŚĆ (INT) Inżynieria internetowa 1.Tryby komunikacji między procesami w standardzie Message Passing Interface. 2. HTML DOM i XHTML cel i charakterystyka. 3. Asynchroniczna komunikacja serwerem HTTP w technologii

Bardziej szczegółowo

Errata do książki Multisim. Technika cyfrowa w przykładach.

Errata do książki Multisim. Technika cyfrowa w przykładach. . 3. 24 r. rrata do książki Multisim. Technika cyfrowa w przykładach.. str.5, źle jest zapisana postać funkcji wyjściowej równoważność (xclusive NOR, XNOR, NOR, XNOR), y 7 = a b + a b = a Ä b = a Å b 2.

Bardziej szczegółowo

PROGRAMOWANIE DYNAMICZNE W ROZMYTYM OTOCZENIU DO STEROWANIA STATKIEM

PROGRAMOWANIE DYNAMICZNE W ROZMYTYM OTOCZENIU DO STEROWANIA STATKIEM Mostefa Mohamed-Seghir Akademia Morska w Gdyni PROGRAMOWANIE DYNAMICZNE W ROZMYTYM OTOCZENIU DO STEROWANIA STATKIEM W artykule przedstawiono propozycję zastosowania programowania dynamicznego do rozwiązywania

Bardziej szczegółowo

Struktury danych i złożoność obliczeniowa Wykład 7. Prof. dr hab. inż. Jan Magott

Struktury danych i złożoność obliczeniowa Wykład 7. Prof. dr hab. inż. Jan Magott Struktury danych i złożoność obliczeniowa Wykład 7 Prof. dr hab. inż. Jan Magott Problemy NP-zupełne Transformacją wielomianową problemu π 2 do problemu π 1 (π 2 π 1 ) jest funkcja f: D π2 D π1 spełniająca

Bardziej szczegółowo

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ Do uŝytku wewnętrznego INFORMATOR LABORATORYJNY TECHNIKA CYFROWA Opracował: dr hab. inŝ. Tadeusz Maciak UWAGA: ćwiczenie 6 jest obecnie przepracowywane.

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

1. SYNTEZA UKŁADÓW SEKWENCYJNYCH

1. SYNTEZA UKŁADÓW SEKWENCYJNYCH DODATEK: SEKWENCJNE UKŁAD ASNCHRONICZNE CD.. SNTEZA UKŁADÓW SEKWENCJNCH Synteza to proces prowadzący od założeń definiujących sposób działania układu do jego projektu. odczas syntezy należy kolejno ustalić:

Bardziej szczegółowo