Metody samotestowania specjalizowanych urządzeń sterowania ruchem drogowym

Wielkość: px
Rozpocząć pokaz od strony:

Download "Metody samotestowania specjalizowanych urządzeń sterowania ruchem drogowym"

Transkrypt

1 Krzysztof Firląg 1 Wydział Transportu Politechniki Warszawskiej Metody samotestowania specjalizowanych urządzeń sterowania ruchem drogowym 1. WPROWADZENIE W ciągu ostatnich lat niezwykle dynamicznie rozwijane są systemy sterowania ruchem drogowym. W miejskich systemach sterowania ruchem drogowym niezwykle istotnym elementem systemu sterowania są urządzenia sterujące ruchem na poziomie lokalnym, na poszczególnych skrzyżowaniach. Sterowniki lokalne ruchu drogowego mogą zarówno samodzielnie sterować ruchem w obrębie skrzyżowania na podstawie informacji z sieci detektorów ruchu, jak również współpracować z innymi urządzeniami (sterownikami, centrami sterowania) i realizować sterowania wg odgórnie narzuconych założeń. Wymagania stawiane sterownikom ruchu drogowego powodują, że na przestrzeni lat, wraz z rozwojem techniki, urządzenia te ewoluowały od urządzeń mechanicznych poprzez urządzenia elektroniczne oparte na układach małej i średniej skali integracji, do rozwiązań opartych na platformach mikroprocesorowych, charakteryzujących się posiadaniem oprogramowania użytkowego i sekwencyjnością realizacji algorytmów sterowania i przetwarzania danych [4]. Problemy współczesnych rozwiązań pokonywane są poprzez stosowanie rozwiązań wieloprocesorowych, albo sprzętową realizację wyodrębnionych algorytmów. Proponowanym rozwiązaniem, zwiększającym prędkość przetwarzania jest powrót do sprzętowych realizacji urządzeń sterowania ruchem, z wykorzystaniem układów cyfrowych, dedykowanych do ściśle określonego zastosowania, gdzie cały, nieraz bardzo złożony system cyfrowy może mieścić się w jednym układzie scalonym. Wykorzystanie układów PLD (Programmable Logic Device) oprócz realizacji złożonych układów logicznych w jednym układzie umożliwia również przeprowadzenie całego procesu projektowego, wraz z prototypowaniem, bezpośrednio u projektanta urządzenia [5]. Współczesne układy reprogramowalne FPGA (Field Programmable Gate Array), będące jednym z rodzajów układów PLD, posiadają w swojej strukturze miliony bramek logicznych i tysiące wyprowadzeń umożliwiają realizację rozbudowanych systemów sterowania wewnątrz jednego scalonego w technice SoC (System on Chip). Metodę realizacji specjalizowanych sterowników ruchu drogowego w układach FPGA i wynikające z niej korzyści omówiono w poprzednich pracach [1]. Bezpośredni wpływ sterowników ruchu drogowego na bezpieczeństwo ruchu pieszych i pojazdów powoduję, że testowanie poprawności działania urządzeń jest sprawą kluczową. Niepoprawne działanie cyfrowego może być wynikiem błędów projektowania lub niedoskonałości procesu wytwarzania. Uszkodzenia mogą także powstać w czasie użytkowania. Metody testowania specjalizowanych urządzeń sterowania ruchem projektowanych i realizowanych w układach programowalnych FPGA przedstawiono w pracy [2]. W artykule kontynuowana będzie analiza zagadnień testowania oraz przedstawione zostaną badania metod samotestowania specjalizowanych sterowników lokalnych. 2. WERYFIKACJA BŁĘDÓW CYFROWYCH URZĄDZEŃ STEROWANIA RUCHEM DROGOWYM 2.1. Błędy w układach cyfrowych W pierwszym etapie projektowania urządzeń sterowania ruchem drogowym w układach programowalnych FPGA projektant zapisuje swoje wyobrażenia o urządzeniu. Odbywa się to w formie schematów logicznych, sieci działań (algorytmu pracy urządzenia) lub w formie języków opisu sprzętu np.: VHDL (Very High Speed Integrated Circuits Hardware Description Language). W drugim etapie następuje 1 kfr@wt.pw.edu.pl Logistyka 4/

2 synteza i implementacja projektu w postaci prototypu, następnie urządzenie trafia na linię produkcyjną gdzie jest wytwarzane. Proces ten przedstawiony jest na rysunku nr 1. Błędna specyfikacja Błędna realizacja Uszkodzenia realizacji Opis działania (Algorytm sterowania) Specyfikacja (VHDL, FSM, BDE) N weryfikacja T Synteza Realizacja, implementacja N testowanie T Badanie prototypu N testowanie T Produkcja urządzenia Weryfikacja (testowanie) oprogramowania Błędy specyfikacji Testowanie sprzętu Błędy i uszkodzenia realizacji Diagnostyka Rys. 1. Etapy procesu powstawania urządzeń cyfrowych. Powstałe w ten sposób urządzenie może nie spełnić postawionych mu wymagań. Przyczyny tego zwykle przedstawia się jako [6]: błędna specyfikacja rozbieżności pomiędzy działaniem wyspecyfikowanego urządzenia a założeniami, według których miało działać; błędna realizacja wynika ze złej dokumentacji, błędnej implementacji; uszkodzenia realizacji niewłaściwe prowadzenie procesu technologicznego, wadliwe podzespoły. Uszkodzenia mogą też powstać w czasie użytkowania na skutek naturalnego procesu starzenia lub niekorzystnej zmiany warunków zewnętrznych. W celu wyeliminowania wymienionych błędów konieczna jest ciągła weryfikacja i testowanie urządzeń cyfrowych na każdym etapie ich wytwarzania, oraz diagnostyka w czasie użytkowania urządzeń Weryfikacja na etapie specyfikacji Błędy na etapie specyfikacji, tzn. błędy w algorytmie działania urządzenia, pomyłki projektanta, pomyłki programisty, błędy w kodzie opisującym działanie, mogą być weryfikowane bezpośrednio na poziomie systemu CAD (Computer Aided Design), w którym nastąpiła specyfikacja. W poprzednich pracach [2] przedstawiono kompletną metodę weryfikacji błędów specyfikacji urządzeń sterowania ruchem, wykorzystującą metody testowania oprogramowania w odniesieniu do języka VHDL, będącego platformą opisu urządzeń realizowanych w FPGA. Kod (VHDL) weryfikuje się zarówno w oparciu o funkcje urządzenia (testowania funkcjonalne) jak i strukturę programu (testowania strukturalne). Częściowa automatyzacja procesu testowania możliwa jest poprzez wykorzystanie automatycznych środowisk testowych (Test Bench). Jako ocenę jakości procesu weryfikacji wykorzystuje się analizę pokrycia strukturalnego. Sprawdza się pokrycie instrukcji, bloków, decyzji, warunków. 3. TESTOWANIE BŁĘDÓW I USZKODZEŃ REALIZACJI URZĄDZEŃ W UKŁADACH FPGA Błędy oraz uszkodzenia realizacji, powstające podczas wytwarzania wskutek niedoskonałości procesów technologicznych, błędów operatorskich oraz uszkodzenia powstałe w czasie użytkowania można wykryć poprzez testowanie prototypu urządzenia. Testowanie na tym etapie może być przeprowadzone na poziomie pakietu oprogramowania integrującego w sobie narzędzia specyfikacji, implementacji i współpracującego z prototypem urządzenia, lub za pomocą systemów automatycznego testowania ATE (Automated Test Equipment) Logistyka 4/2014

3 3.1. Modele błędów układów FPGA Poza klasycznymi modelami błędów sklejeń (Stuck-At) i zwarć (Wired), w układach FPGA występują błędy charakterystyczne dla układów programowalnych: błędy struktury FPGA błędy połączeń, błędy typu sklejeń, całkowite rozłączenie lub zwarcie pary połączeń; błędy komórek CLB błędy multiplekserów, przerzutników D; błędy komórek LUT błędy pamięci, błędy dekodera. Ponadto, poza błędami statycznymi, występują błędy dynamiczne, których efekty mogą ujawniać się przy zmianach sygnałów wejściowych np.: błędy opóźnieniowe. Oprócz trwałych uszkodzeń występują jeszcze błędy przemijające, chwilowe, typu zmiana wartości komórki pamięci, rejestru, linii sygnałowej na wartość przeciwną do poprawnej. Wraz z rozwojem technologii, zmniejszaniem się wymiarów technologicznych, obserwuje się również wzrastającą czułość układów na błędy przemijające. Dla poszczególnych modelów błędów opracowano wiele metod ich weryfikacji, opartych głównie na dekompozycji układów i specjalistycznych metodach testowania Techniki testowania układów programowalnych Współczesne programowalne struktury logiczne można podzielić na układy [5]: ASIC układy zamawiane bądź projektowane przez użytkownika, programowane przez producenta; CPLD (Complex PLD)/FPGA układy projektowane i programowane przez użytkownika. Zależnie od typów układów testowanie ich można podzielić na dwa rodzaje: testowanie wszystkich komórek i wszystkich połączeń (testowanie niezależne od aplikacji); testowanie logiki użytej do realizacji funkcji (testowanie zależne od aplikacji). Pierwsze testowanie odnosi się do układów ASIC i nie zaprogramowanych układów CPLD i FPGA. Testowanie to ma na celu wykrycie uszkodzeń struktury. Testowaniu temu układy poddawane są głównie u producenta (test produkcyjny). Drugi rodzaj testowania odnosi się do zaprogramowanego FPGA. Polega on na testowaniu zaprogramowanej logiki działania (funkcji użytkownika). Technika ta zwykle wykorzystuje ideę samotestowania. Techniki testowania układów programowalnych możemy podzielić na: zewnętrzne techniki, gdzie dla danego modelu uszkodzeń, odpowiednio opracowana sekwencja wektorów testowych, podawana jest z zewnętrznego generatora testów ATE (Automatic Test Equipment). Technika ta wymaga drogich specjalistycznych testerów; wewnętrzne techniki testowania, wykorzystujące wbudowane elementy testowe BIST (Built-In Self- Test) wbudowane samotestowanie Wbudowane samotestowanie BIST Wady i zalety samotestowania układów Główne zalety wbudowanego testowania to [7]: eliminacja drogich zewnętrznych narzędzi diagnostycznych; zmniejszenie czasu detekcji i lokalizacji uszkodzeń; możliwość testowania on-line (współbieżnego z normalną pracą urządzenia); Głównymi wadami wbudowanego testowania spotykanymi w literaturze są [7]: znaczny nadmiar układowy; wzrost ceny urządzenia o cenę wbudowanego testera; konieczność ograniczenia stopnia złożoności wbudowanego testującego; integracja projektowania z projektowaniem testera (trudno zautomatyzować ten proces); dodatkowe wyprowadzenia; spowolnienie pracy testowanego. Architektura typowego BIST Architektura prostego BIST przedstawiona została na rysunku nr 2. Logistyka 4/

4 Generator sekwencji testowych (TPG) Testowany Układ (CUT) Analizator odpowiedzi (ORA) Rys. 2. Podstawowa struktura BIST. W skład podstawowej struktury BIST wchodzą [3]: testowany CUT (Circuit Under Test); generator sekwencji testowych TPG (Test Patern Generator); analizator odpowiedzi ORA (Output Response Analizer). Zwykle BIST zawiera również multipleksery, pozwalające na odcięcie z systemu i poddaniu procesowi testowania oraz kontroler, nadzorujący proces testowania. Przykładowa, rzeczywista struktura BIST przedstawiona została na rysunku 3. Bist Start Sterownik Kontroler testu Bist Done Generator sekwencji testowych (TPG) Analizator odpowiedzi (ORA) Fail Wejście 0 1 Testowany Układ (CUT) Wyjście Rys. 3. Przykładowa implementacja BIST Źródło: opracowanie na podstawie [7]. Samotestowanie można zrealizować wbudowując w układ generator wektorów testowych oraz układ analizujący prawidłowość odpowiedzi. Jest to do zrealizowania przez wprowadzenie do dwóch pamięci stałych: pamięci wektorów testowych i pamięci poprawnych odpowiedzi, oraz porównującego odpowiedzi otrzymane z prawidłowymi. Jest to jednak rozwiązanie niepraktyczne, bo potrzebne do tego pamięci z reguły zajmowałyby w układzie bardzo dużo miejsca. W związku z tym dąży się do upraszczania generatorów sekwencji testowych, tak aby wykorzystując jak najmniejsze zasoby, generowały sekwencję testowe, pozwalające na wykrycie uszkodzeń. Generatory sekwencji testowych wykorzystują następujące koncepcje generacji wymuszeń [7]: pamięciowe wykorzystuje się wzorce zapisane w pamięci; układy liczników wyczerpujące testowanie dla n wejściowych układów kombinacyjnych; testowanie pseudowyczerpujące sekwencje testujące bazują na wbudowanych generatorach LFSR (sekwencje o maksymalnej długości) ze sprzężeniem zewnętrznym lub wewnętrznym lub bazujące na automatach komórkowych; specjalistyczne automaty generujące sekwencję deterministyczne wg określonych algorytmów. Analiza odpowiedzi może polegać na komparacji odpowiedzi testowanego z wzorcowym lub na poddawaniu kolejnych odpowiedzi kompakcji prowadzącej do otrzymania sygnatury pojedynczego słowa binarnego, które ma jednoznacznie określoną wartość w przypadku sprawnego, natomiast przyjmuje inne wartości, jeśli w testowanym układzie jest uszkodzenie. Techniki redukcji informacji (kompakcji, koncentracji) w analizatorach odpowiedzi, sprzętowo wykorzystują: koncentratory: wykorzystujące bramki XOR (rys. 4); wykorzystujące układy LFSR; techniki licznikowe: zliczanie jedynek; zliczanie zboczy; kontrolę parzystości; 1828 Logistyka 4/2014

5 d 7 d 6 d 5 d 4 Skoncentrowane wyjście (do ORA) d 3 d 2 d 1 d 0 Rys bitowy koncentrator informacji Źródło: opracowanie na podstawie [7]. Techniki samotestowania w układach FPGA Metody samotestowania BIST można podzielić na: samotestowanie współbieżne normalną z pracą systemu (on-line): testowanie równoległe bazujące na redundancji układowej; w stanach nieaktywności ; samotestowanie prewencyjne (off-line): stałe układy BIST mogą być na stałe wbudowane w strukturę układów FPGA; dynamiczne, gdzie samotestowanie może odbywać się przez wykorzystanie cechy rekonfigurowalności w systemie. Wykorzystywane są wolne zasoby FPGA, które przekształcane są tymczasowo w generator i analizator testu dla pozostałych komórek. Po przetestowaniu przywracana jest konfiguracja odpowiadająca normalnej pracy. W ten sposób samotestowanie przeprowadzane jest bez nadmiaru układowego. 4. IMPLEMENTACJA TECHNIK SAMOTESTOWANIA W STEROWNIKACH RUCHU DROGOWEGO Poszczególne techniki samotestowania zaimplementowano w bloki logiczne sterowników ruchu drogowego. Do badań wykorzystano opracowaną we wcześniejszych pracach bazę modeli specjalizowanych adaptacyjnych sterowników ruchu drogowego dla izolowanych skrzyżowań. Układy badano zarówno na poziomie modelów w językach opisu sprzętu w środowisku programu Active HDL, jak również na poziomie prototypów zrealizowanych w zestawach uruchomieniowych, np.: z układem Spartan3 XC3S200 FT256 (rys. 5a), z układem Spartan3E-100 CP132 (rys 5b) i innych. a) b) Rys. 5. Zestawy uruchomieniowe z układami FPGA: a) XC3S200FT256, b) S3E100CP Testowanie współbieżne z pracą systemu (on-line), zależne od aplikacji Testowanie współbieżne z pracą systemu przeprowadzono w dwóch wariantach: bez podziału na makrobloki (rys. 6a); z podziałem na makrobloki (rys. 6b), gdzie podział wynikał z funkcjonalności układów. Logistyka 4/

6 Sterownik Sterownik Moduł odliczania czasów Wzorcowy moduł odliczania czasów kompa rator błąd liczn Wzorcowy Układ Komparator Fail wejście Moduł obsługi logiki detektorowej Moduł sterowania Moduł transkodera grup sygnałowych wyjście Wejście Testowany Układ (CUT) Wyjście Wzorcowy moduł obsługa logiki detektorowej kompa rator Wzorcowy moduł sterowania kompa rator Wzorc. moduł transkodera grup sygnałowych kompa rator a) b) Rys. 6. Implementacja układów samotestowania do modułu sterownika: a) bez podziału na makrobloki, b) z podziałem na makrobloki. Testowania bez podziału na makrobloki W testowaniu bez podziału na makrobloki potraktowano cały sterownik jako jeden blok logiczny, specyfikację sterownika z wbudowanym samotestowaniem przedstawia rysunek 7a, zewnętrznie sterownik zyskał jedynie jedno dodatkowe wyprowadzenie fault (rys. 7b), informujące o wykryciu błędu w działaniu sterownika, wynikającego z uszkodzenia. błąd detektor błąd sterow błąd trans a) b) Rys. 7. Specyfikacja sterownika z wbudowanym samotestowaniem: a) struktura, b) blok sterownika. Głównym problem jaki wiążą się z tą techniką samotestowania jest brak lokalizacji błędu. W procesie testowania otrzymywana jest informacja, że błąd wystąpił, natomiast nie ma możliwości stwierdzenia który moduł (element) sterownika uległ uszkodzeniu. Dużym problemem w takiej strukturze jest również maskowanie błędów. Weryfikacja jedynie poprawności sygnałów na wyjściu ze złożonego powoduje, że można nie wykryć uszkodzenia mniej ważnego modułu, który nie ma bezpośredniego wpływu na wyjście. Powyższe rozwiązanie zostało zweryfikowane na modelu w programie Active-HDL. Przeprowadzono również syntezę, implementację i prototypowanie tego rozwiązania do FPGA. Wybrano układ xc2s15vq100, gdyż był on najmniejszym z dostępnych układów. Parametry z syntezy przedstawiono w tabeli Logistyka 4/2014

7 Tabela 1. Wykorzystanie zasobów układów FPGA. Zasoby układów FPGA Sterownik Sterownik z BIST FPGA: xc2s15vq100 FPGA: xc2s15vq100 Wyprowadzeń WE/WY 23/64 (35%) 24/64 (37%) Bloków Slice 84/192 (43%) 170/192 (88%) Komórek LUT 4 wejściowych 148/384 (38%) 299/384 (77%) Przerzutników 52/384 (13%) 104/384 (27%) Maksymalna częstotliwość pracy 131,165 MHz 131,165 MHz Zgodnie z oczekiwaniami wykorzystanie zasobów logicznych FPGA wzrosło dwukrotnie. Pomimo dwa razy większej struktury maksymalna dopuszczalna prędkość taktowania, czyli szybkość jego dziania, nie uległa zmianie. Wynika to ze współbieżnej realizacji procesów w układach FPGA. Realizacje obydwu układów, testowanego i wzorcowanego, pomimo iż fizycznie znajdują się w jednym układzie cyfrowym, są niezależne. Wniosek ten potwierdza również analiza struktury FPGA w narzędziu FPGA Editor, gdzie wyraźnie widoczne jest niezależne rozmieszczenie elementów logicznych poszczególnych sterowników w strukturze FPGA (rys. 8). Testowany sterownik Rys. 8. Fragment struktury FPGA z zaimplementowanym układem BIST. Testowanie z podziałem na makrobloki W testowaniu z podziałem na makrobloki, podział wynikał z wewnętrznej struktury sterownika, gdzie wyodrębniono poszczególne bloki funkcyjne. Do każdego bloku dobudowano blok wzorcowy i komparator (rys. 9a). Zewnętrznie układ zwiększył się o cztery dodatkowe wyprowadzenia (rys. 9b). Rozwiązanie umożliwia lokalizację błędów, a także zmniejsza możliwość ich maskowania. Możliwe jest, że pomimo wykrycia błędu (uszkodzenia) w jednym z modułów, wynik na wyjściu będzie poprawny. Przy podziale na większą liczbę bloków wskazane jest zakodowanie informacji o błędzie, co zmniejszy liczbę dodatkowych wyprowadzeń. Synteza, implementacja i prototypowanie tego rozwiązania wykazały dwukrotny wzrost wykorzystania zasobów logicznych FPGA (tab. 2). Podobnie jak w poprzedniej realizacji, pomimo dwa razy większej struktury, maksymalna dopuszczalna prędkość taktowania, nie uległa znaczącej zmianie. Również w tym przypadku możliwe jest takie rozmieszczenie elementów logicznych w strukturze FPGA, aby zajmowały one niezależne obszary krzemu. Tabela 2. Wykorzystanie zasobów układów FPGA Wzorcowy sterownik Zasoby układów Sterownik Sterownik z BIST FPGA: xc2s15vq100 FPGA: xc2s15vq100 Wyprowadzeń WE/WY 23/64 (35%) 27/64 (42%) Bloków Slice 84/192 (43%) 155/192 (80%) Komórek LUT 4 wejściowych 148/384 (38%) 272/384 (70%) Przerzutników 52/384 (13%) 108/384 (28%) Maksymalna częstotliwość pracy 131,165 MHz 127,698 MHz Logistyka 4/

8 a) b) Rys. 9. Specyfikacja sterownika z wbudowanym samotestowaniem z podziałem na makrobloki: a) struktura, b) blok sterownika Testowanie w czasie bezczynności (off-line), zależne od aplikacji Technikę testowanie w czasie bezczynności (off-line) badano w wersji z elementami BIST na stale wbudowanymi w strukturę FPGA. Zaproponowana architektura (rys. 3) zawiera układ multipleksera umożliwiający odseparowanie badanego od systemu, generator sekwencji testowych generujący wymuszenia dla, analizator odpowiedzi oraz układ kontrolujący przebieg testu. Analizując możliwość realizacji samotestowania w trybie bezczynności stwierdzono, że wymagana ilość logiki do zrealizowania układów BIST zdecydowanie przewyższa ilość logiki wykorzystywanej przez testowany układ. Niezwykle trudne jest testowania w ten sposób całego sterownika, gdyż byłaby wymagana bardzo długa sekwencja testowa zapewniająca gruntowne przetestowania całego, lub gwarantująca zadany poziom niepewności detekcji przy testowaniu losowym. Wymagany jest podział na makrobloki i testowanie gruntowne lub losowe poszczególnych bloków. W przykładzie na rysunku 10, testowaniu poddano układ detekcji badanego sterownika. Jest to układ kombinacyjny o 10 wejściach, jako TPG wykorzystano licznik mod 1024, co pozwoliło przeprowadzić gruntowne testowanie. Czas pełnego testu, przy zegarze 50[MHz], wynosił około 21[µs]. Na przebiegu z procesu testowania (rys. 11) wyróżniono trzy charakterystyczne punkty. Włączenie procesu testowania Start_BIST=1, zakończenie testu przez układ BIST_Done=1, wyłączenie procesu testowania i rozpoczęcie pracy w systemie Start_BIST=0. W procesie testowania nie wykryto błędów Fail=0. Dla układów kombinacyjnych powyżej 40 wejść, zalecana jest dekompozycja na mniejsze bloki, gdyż czas pełnego testowania przekracza kilka godzin. Przy układach sekwencyjnych, gdzie na długość sekwencji testującej wpływ ma zarówno liczba wejść jak i liczba przerzutników w układzie, testowanie gruntowne jest nieopłacalne. Najlepiej w tym przypadku sprawdzają się metody generacji wektorów testowych oparte na generatorach LFSR lub automatach komórkowych. Przeprowadzone badania wskazały również konieczność kompakcji wyników testowania, w celu zmniejszenia zasobów wykorzystywanych przez tester Logistyka 4/2014

9 Logistyka - nauka Rys. 10. Specyfikacja BIST do testowania modułów w trybie off-line. Rys. 11. Przebiegi czasowe procesu testowania detekcji sterownika. 5. POSUMOWANIE I WNIOSKI Przeprowadzone badania wybranych metod testowania urządzeń sterowania ruchem z wykorzystaniem wbudowanego samotestowania wykazały, że korzystną metodą jest samotestowanie współbieżne z pracą systemu, w wariancie z podziałem na makrobloki. Zaletą tej metody jest łatwość implementacji i możliwość lokalizacji błędów. Testowanie to wymaga dwukrotnie większych zasobów urządzenia, ale nie wpływa na prędkość przetwarzania, czyli wydajność testowanych urządzeń. Pomimo umieszczenie zarówno testera jak i testowanego urządzenia w jednym układzie FPGA, możliwe jest niezależne rozmieszczenie tych elementów w różnych częściach scalonego. Logistyka 4/

10 Wykorzystanie techniki testowania w czasie bezczynności pozwala na wykrycie uszkodzeń, których wykrycie podczas normalnej pracy byłoby niezwykle trudne. Ten wariant testowania wykorzystuje jednak duże zasoby układowe, znacznie przewyższające zasoby wykorzystane przez testowany układ. Niezbędne w tym przypadku jest wykorzystanie dekompozycji układów, losowych metod generacji testów bazujących na generatorach LFSR oraz skutecznych metod kompakcji wyników testowania. Zagadnienia te należy nadal badać. Rozwiązaniami wymagającymi dalszych badań są również metody samotestowania dynamicznego, gdzie wykorzystywane są wolne zasoby FPGA, które przekształcane są tymczasowo w generator i analizator testu dla pozostałych komórek, bez stałego nadmiaru układowego. Streszczenie Budowa sterowników lokalnych jako urządzeń specjalizowanych w układach FPGA, umożliwia otrzymanie nowej klasy urządzeń, posiadających zalety dotychczasowych urządzeń, jednocześnie pozbawionych większości ich wad. Bezpośrednia odpowiedzialność za bezpieczeństwo uczestników ruchu, powoduję, że niezwykle ważnym zagadnieniem jest testowanie specjalizowanych urządzeń sterowania ruchem drogowym. Testowanie zarówno na wszystkich etapach powstawania tych urządzeń jak i podczas ich pracy w systemie sterowania. Przedmiotem pracy jest analiza metod wbudowanego samotestowania (BIST) urządzeń cyfrowych oraz badanie ich przydatności do testowania urządzeń sterowania ruchem realizowanych w układach FPGA. Wybrane metody samotestowania zaimplementowano w modelach specjalizowanych sterowników ruchu drogowego i weryfikowano ich działanie. Badano również prototypy sterowników ruchu w zestawach uruchomieniowych z układami FPGA. Umożliwiło to analizę wykrywania błędówi uszkodzeń realizacji urządzeń. Badano również wpływ nadmiarowych elementów testowych na parametry działania sterowników. Słowa kluczowe: specjalizowane urządzenia sterowania ruchem drogowym, testowanie sterowników lokalnych, wbudowane samotestowanie. Self-test methods of specialized traffic controller devices Abstract Construction of local controllers as specialized devices within FPGA systems allows for obtaining a new class of devices having the advantages of already existing devices which are simultaneously deprived of most of their disadvantages. Direct responsibility for the safety of traffic participants makes the testing of specialized traffic control devices an extremely important issue. Testing performed both in all stages of the formation of such devices as well as during their operation in the control system. The aim of the paper is to analyze methods of built-in self-test (BIST) of digital devices and study their suitability for testing traffic control devices implemented in FPGA systems. Selected methods of self-testing have been implemented in models of specialized traffic controllers and their operation has been verified. Prototypes of traffic controllers have also been tested in starter kits in FPGA systems. This enabled the analysis of error detection and failures in the implementation of devices. Influence of redundant test elements on operation parameters of controllers has also been investigated. Key words: specialized traffic control devices, testing traffic controllers, built-in self-test. LITERATURA [1] Firląg K., Projektowanie i realizacja specjalizowanych sterowników ruchu drogowego w reprogramowalnych strukturach logicznych, Politechnika Warszawska, Prace Naukowe - Transport, z.77, str , OWPW, 2011, Warszawa. [2] Firląg K., Testowanie specjalizowanych urządzeń sterowania ruchem drogowym w strukturach FPGA, Politechnika Warszawska, Prace Naukowe - Transport, z.95, str , OWPW, 2013, Warszawa. [3] Jha N. K., Gupta S., Testing of digital systems, Cambridge University Press, [4] Leśko M., Guzik J., Sterowanie ruchem drogowym. Sterowniki i systemy sterowania i nadzoru ruchu, Wydawnictwo Politechniki Śląskiej, 2000, Gliwice. [5] Łuba T., Jasiński K., Zbierzchowski B.: Specjalizowane układy cyfrowe w strukturach PLD i FPGA. WKŁ, 1997, Warszawa. [6] Sapiecha K., Testowanie i diagnostyka systemów cyfrowych, PWN, 1987, Warszawa. [7] Stroud C. E., A Designer's Guide to Built-In Self-Test, Kluwer Academic Publishers, Logistyka 4/2014

WBUDOWANE SAMOTESTOWANIE RUCHEM DROGOWYM

WBUDOWANE SAMOTESTOWANIE RUCHEM DROGOWYM PRACE NAUKOWE POLITECHNIKI WARSZAWSKIEJ z. 113 Transport 2016 Politechnika Warszawska, WBUOWANE SAMOTESTOWANIE RUCHEM ROGOWYM : 2016 Streszczenie: drogowym e ruchu drogowym stowanie adnieniem. W artykule

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Zwiększanie wiarygodności systemów wykorzystujących układy programowalne

Zwiększanie wiarygodności systemów wykorzystujących układy programowalne Zwiększanie wiarygodności systemów wykorzystujących układy programowalne Andrzej Kraśniewski PRUS, 17 stycznia 2013 r. wiarygodność (dependability) niezawodność bezpieczeństwo działania (safety) Wiarygodność

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

Automatyczne testowanie w układach FPGA

Automatyczne testowanie w układach FPGA Automatyczne testowanie w układach FPGA prof. dr hab. inż. Kazimierz Wiatr Katedra Elektroniki Wydział Elektrotechniki, Automatyki, Informatyki i Elektroniki AGH email: wiatr@uci.agh.edu.pl ZAGADNIENIA:

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Szybkie prototypowanie w projektowaniu mechatronicznym

Szybkie prototypowanie w projektowaniu mechatronicznym Szybkie prototypowanie w projektowaniu mechatronicznym Systemy wbudowane (Embedded Systems) Systemy wbudowane (ang. Embedded Systems) są to dedykowane architektury komputerowe, które są integralną częścią

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 10 Legenda Testowanie układów logicznych Potrzeba testowania Uszkodzenia i modele błędów Generowanie wektorów testowych dla układów kombinacyjnych 2 1 Potrzeba

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Arkadiusz Bukowiec 1 Radosław Gąsiorek 2 Agnieszka Węgrzyn 3 Prezentowany referat przedstawia ogólną koncepcję

Bardziej szczegółowo

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych Mechatronika i inteligentne systemy produkcyjne Modelowanie systemów mechatronicznych Platformy przetwarzania danych 1 Sterowanie procesem oparte na jego modelu u 1 (t) System rzeczywisty x(t) y(t) Tworzenie

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

5.3. Analiza maskowania przez kompaktory IED-MISR oraz IET-MISR wybranych uszkodzeń sieci połączeń Podsumowanie rozdziału

5.3. Analiza maskowania przez kompaktory IED-MISR oraz IET-MISR wybranych uszkodzeń sieci połączeń Podsumowanie rozdziału 3 SPIS TREŚCI WYKAZ WAŻNIEJSZYCH SKRÓTÓW... 9 WYKAZ WAŻNIEJSZYCH OZNACZEŃ... 12 1. WSTĘP... 17 1.1. Zakres i układ pracy... 20 1.2. Matematyczne podstawy opisu wektorów i ciągów binarnych... 25 1.3. Podziękowania...

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2010 2015 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Cyfrowe układy scalone Układy cyfrowe

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2012 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Publikacja współfinansowana ze środków

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Język VHDL w implementacji układów cyfrowych w FPGA/CPLD poziom podstawowy GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com Szczecin 2014

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop. 2017 Spis treści O autorze 9 Wprowadzenie 11 Rozdział 1. Sterownik przemysłowy 15 Sterownik S7-1200 15 Budowa zewnętrzna

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Politechnika Śląska w Gliwicach Wydział Automatyki Elektroniki i Informatyki Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Promotor dr inż. Jacek Loska Wojciech Klimeczko

Bardziej szczegółowo

DiaSter - system zaawansowanej diagnostyki aparatury technologicznej, urządzeń pomiarowych i wykonawczych. Politechnika Warszawska

DiaSter - system zaawansowanej diagnostyki aparatury technologicznej, urządzeń pomiarowych i wykonawczych. Politechnika Warszawska Jan Maciej Kościelny, Michał Syfert DiaSter - system zaawansowanej diagnostyki aparatury technologicznej, urządzeń pomiarowych i wykonawczych Instytut Automatyki i Robotyki Plan wystąpienia 2 Wprowadzenie

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia(a).

Bardziej szczegółowo

REALIZACJA SPECJALIZOWANYCH STEROWNIKÓW RUCHU DROGOWEGO

REALIZACJA SPECJALIZOWANYCH STEROWNIKÓW RUCHU DROGOWEGO Krzysztof Firląg Wydział Transportu Politechniki Warszawskiej REALIZACJA SPECJALIZOWANYCH STEROWNIKÓW RUCHU DROGOWEGO Streszczenie: W artykule przedstawiono ważną rolę, jaką pełnią w systemach sterowania

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1 Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E2T-09-s2 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable Logic

Bardziej szczegółowo

Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości

Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości Politechnika Lubelska Wydział Elektrotechniki i Informatyki PRACA DYPLOMOWA MAGISTERSKA Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości Marcin Narel Promotor: dr inż. Eligiusz

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

METODY SYNTEZY I REALIZACJI SPECJALIZOWANYCH STEROWNIKÓW RUCHU DROGOWEGO W STRUKTURACH REPROGRAMOWALNYCH

METODY SYNTEZY I REALIZACJI SPECJALIZOWANYCH STEROWNIKÓW RUCHU DROGOWEGO W STRUKTURACH REPROGRAMOWALNYCH FIRLĄG Krzysztof 1 Lokalne sterowniki ruchu drogowego, Programowalne struktury logiczne, Synteza specjalizowanych urządzeń sterujących MEODY SYEZY I REALIZACJI SPECJALIZOWAYCH SEROWIKÓW RUCHU DROGOWEGO

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

E-E-A-1008-s6. Sterowniki PLC. Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES)

E-E-A-1008-s6. Sterowniki PLC. Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E-A-1008-s6 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne Załącznik nr do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

E-4EZA1-10-s7. Sterowniki PLC

E-4EZA1-10-s7. Sterowniki PLC Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-4EZA1-10-s7 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable

Bardziej szczegółowo

Projektowanie. Projektowanie mikroprocesorów

Projektowanie. Projektowanie mikroprocesorów WYKŁAD Projektowanie mikroprocesorów Projektowanie układ adów w cyfrowych - podsumowanie Algebra Boole a Bramki logiczne i przerzutniki Automat skończony System binarny i reprezentacja danych Synteza logiczna

Bardziej szczegółowo

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl Systemy wbudowane Paweł Pełczyński ppelczynski@swspiz.pl 1 Program przedmiotu Wprowadzenie definicja, zastosowania, projektowanie systemów wbudowanych Mikrokontrolery AVR Programowanie mikrokontrolerów

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Sterowniki programowalne Programmable Controllers. Energetyka I stopień Ogólnoakademicki. przedmiot kierunkowy

Sterowniki programowalne Programmable Controllers. Energetyka I stopień Ogólnoakademicki. przedmiot kierunkowy Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Sterowniki programowalne Programmable Controllers

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo

Kurs Projektowanie i programowanie z Distributed Safety. Spis treści. Dzień 1. I Bezpieczeństwo funkcjonalne - wprowadzenie (wersja 1212)

Kurs Projektowanie i programowanie z Distributed Safety. Spis treści. Dzień 1. I Bezpieczeństwo funkcjonalne - wprowadzenie (wersja 1212) Spis treści Dzień 1 I Bezpieczeństwo funkcjonalne - wprowadzenie (wersja 1212) I-3 Cel stosowania bezpieczeństwa funkcjonalnego I-4 Bezpieczeństwo funkcjonalne I-5 Zakres aplikacji I-6 Standardy w zakresie

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: PROGRAMOWANIE SYSTEMÓW WBUDOWANYCH Kierunek: Informatyka Rodzaj przedmiotu: moduł specjalności obowiązkowy: Inżynieria o Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów asynchronicznych

Sławomir Kulesza. Projektowanie automatów asynchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów asynchronicznych Wykład dla studentów III roku Informatyki Wersja 3.0, 03/01/2013 Automaty skończone Automat skończony (Finite State Machine FSM)

Bardziej szczegółowo

Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach FPGA pracujących w trybie prądowym

Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach FPGA pracujących w trybie prądowym Przemysław Sołtan Wydział Elektroniki Politechnika Koszalińska ul. Śniadeckich 2, 75-453 Koszalin e-mail: kerk@ie.tu.koszalin.pl Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Politechnika Gdańska. Gdańsk, 2016

Politechnika Gdańska. Gdańsk, 2016 Politechnika Gdańska Wydział Elektroniki, Telekomunikacji i Informatyki Katedra Systemów Geoinformatycznych Aplikacje Systemów Wbudowanych Programowalne Sterowniki Logiczne (PLC) Krzysztof Bikonis Gdańsk,

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Ryszard J. Barczyński, 2 25 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Układy cyfrowe stosowane są do przetwarzania informacji zakodowanej

Bardziej szczegółowo

Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES)

Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Testowanie i walidacja oprogramowania

Testowanie i walidacja oprogramowania i walidacja oprogramowania Inżynieria oprogramowania, sem.5 cz. 3 Rok akademicki 2010/2011 Dr inż. Wojciech Koziński Zarządzanie testami Cykl życia testów (proces) Planowanie Wykonanie Ocena Dokumentacja

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW VLSI

PROJEKTOWANIE UKŁADÓW VLSI prof. dr hab. inż. Andrzej Kos Tel. 34.35, email: kos@uci.agh.edu.pl Pawilon C3, pokój 505 PROJEKTOWANIE UKŁADÓW VLSI Forma zaliczenia: egzamin Układy VLSI wczoraj i dzisiaj Pierwszy układ scalony -

Bardziej szczegółowo

Wykład 8. Testowanie w JEE 5.0 (1) Autor: Zofia Kruczkiewicz. Zofia Kruczkiewicz

Wykład 8. Testowanie w JEE 5.0 (1) Autor: Zofia Kruczkiewicz. Zofia Kruczkiewicz Wykład 8 Testowanie w JEE 5.0 (1) Autor: 1. Rola testowania w tworzeniu oprogramowania Kluczową rolę w powstawaniu oprogramowania stanowi proces usuwania błędów w kolejnych fazach rozwoju oprogramowania

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów synchronicznych

Sławomir Kulesza. Projektowanie automatów synchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów synchronicznych Wykład dla studentów III roku Informatyki Wersja 2.0, 20/12/2012 Automaty skończone Automat Mealy'ego Funkcja wyjść: Yt = f(st,

Bardziej szczegółowo

Metodyka projektowania komputerowych systemów sterowania

Metodyka projektowania komputerowych systemów sterowania Metodyka projektowania komputerowych systemów sterowania Andrzej URBANIAK Metodyka projektowania KSS (1) 1 Projektowanie KSS Analiza wymagań Opracowanie sprzętu Projektowanie systemu Opracowanie oprogramowania

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Ćwiczenie ZINTEGROWANE SYSTEMY CYFROWE. Pakiet edukacyjny DefSim Personal. Analiza prądowa IDDQ

Ćwiczenie ZINTEGROWANE SYSTEMY CYFROWE. Pakiet edukacyjny DefSim Personal. Analiza prądowa IDDQ Ćwiczenie 2 ZINTEGROWANE SYSTEMY CYFROWE Pakiet edukacyjny DefSim Personal Analiza prądowa IDDQ K A T E D R A M I K R O E L E K T R O N I K I I T E C H N I K I N F O R M A T Y C Z N Y C H Politechnika

Bardziej szczegółowo

Podstawy Automatyki. Wykład 8 - Wprowadzenie do automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 8 - Wprowadzenie do automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 8 - Wprowadzenie do automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2016 Literatura Zieliński C.: Podstawy projektowania układów cyfrowych. PWN, Warszawa, 2003 Traczyk W.:

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Systemy zabezpieczeń

Systemy zabezpieczeń Systemy zabezpieczeń Definicja System zabezpieczeń (safety-related system) jest to system, który implementuje funkcje bezpieczeństwa konieczne do utrzymania bezpiecznego stanu instalacji oraz jest przeznaczony

Bardziej szczegółowo

Pośredniczy we współpracy pomiędzy procesorem a urządzeniem we/wy. W szczególności do jego zadań należy:

Pośredniczy we współpracy pomiędzy procesorem a urządzeniem we/wy. W szczególności do jego zadań należy: Współpraca mikroprocesora z urządzeniami zewnętrznymi Urządzenia wejścia-wyjścia, urządzenia których zadaniem jest komunikacja komputera z otoczeniem (zwykle bezpośrednio z użytkownikiem). Do najczęściej

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group Użycie złącza JTAG w systemach mikroprocesorowych do testowania integralności połączeń systemu oraz oprogramowania zainstalowanego w pamięciach stałych. JTAG Joint Test Action Group mgr inż. Tadeusz Andrzejewski

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia_pamięci(a).

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie x i ={,} y i ={,} w., p. Bramki logiczne czas propagacji Odpowiedź na wyjściu bramki następuje po pewnym, charakterystycznym dla danego układu czasie od momentu zmiany sygnałów wejściowych.

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć Systemy Wbudowane Kod przedmiotu: SW Rodzaj przedmiotu: kierunkowy ; obowiązkowy Wydział: Informatyki Kierunek: Informatyka Specjalność (specjalizacja): - Poziom studiów: pierwszego stopnia Profil studiów:

Bardziej szczegółowo

Automatyzacja procesu implementacji układów cyfrowych w technologii prądowych układów FPGA

Automatyzacja procesu implementacji układów cyfrowych w technologii prądowych układów FPGA Przemysław Sołtan Oleg Maslennikow Wydział Elektroniki Politechnika Koszalińska ul. Partyzantów 17, 75-411 Koszalin Robert Berezowski Magdalena Rajewska Automatyzacja procesu implementacji układów cyfrowych

Bardziej szczegółowo