Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Wielkość: px
Rozpocząć pokaz od strony:

Download "Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki"

Transkrypt

1 Wykład 12 - synteza i minimalizacja funkcji logicznych Instytut Automatyki i Robotyki Warszawa, 2017

2 Synteza funkcji logicznych Terminy - na bazie funkcji trójargumenowej y = (x 1, x 2, x 3 ) (1) Elementarny iloczyn funkcji jest to dowolny iloczyn argumentów prostych lub zanegowanych, np. x 1 x 3, x 1 x 2 x 3 Składnik jedności elementarny iloczyn, w którym występują wszystkie argumenty danej funkcji np. K = x 1 x 2 x 3 Elementarna suma funkcji jest to dowolna suma argumentów prostych lub zanegowanych, np. x 1 + x 3, x 1 + x 2 + x 3 Czynnik zera elementarna suma, w której występują wszystkie argumenty danej funkcji np. K = x 1 + x 2 + x 3 Kolejne stany argumentów danej funkcji, np. stan 011 (x 1 = 0, x 2 = 1, x 3 = 1) tworzą dwójkowe zapisy liczb dziesiętnych, które nazywamy numerami stanu argumentów (np. numerem stanu argumentów 011 jest 3).

3 Synteza funkcji logicznych Nr st. argum. x 1 x 2 x 3 Składniki jedności Czynniki zera K 0 = x 1 x 2 x 3 D 0 = x 1 + x 2 + x K 1 = x 1 x 2 x 3 D 1 = x 1 + x 2 + x K 2 = x 1 x 2 x 3 D 2 = x 1 + x 2 + x K 3 = x 1 x 2 x 3 D 3 = x 1 + x 2 + x K 4 = x 1 x 2 x 3 D 4 = x 1 + x 2 + x K 5 = x 1 x 2 x 3 D 5 = x 1 + x 2 + x K 6 = x 1 x 2 x 3 D 6 = x 1 + x 2 + x K 7 = x 1 x 2 x 3 D 7 = x 1 + x 2 + x 3 W tablicy: składnik jedności K oznaczono indeksem i, jeżeli dla i-tego stanu argumentów przyjmuje on wartość 1, czynnik zera D oznaczono indeksem i, jeżeli dla i-tego stanu argumentów przyjmuje on wartość 0.

4 Synteza funkcji logicznych W tablicy: składnik jedności K oznaczono indeksem i, jeżeli dla i-tego stanu argumentów przyjmuje on wartość 1, czynnik zera D oznaczono indeksem i, jeżeli dla i-tego stanu argumentów przyjmuje on wartość 0. Należy zauważyć, że dla przyjętego sposobu numeracji składników jedności i czynników zera: składnik jedności K i przyjmuje wartość 1 tylko dla i-tego stanu argumentów; dla pozostałych stanów argumentów jest zerem, czynnik zera D i przyjmuje wartość 0 tylko dla i-tego stanu argumentów; dla pozostałych stanów argumentów jest jedynką. Liczba składników jedności (czynników zera) jest równa liczbie stanów argumentów.

5 Synteza funkcji logicznych Jakąkolwiek funkcję trójargumentową (i analogicznie funkcje o innej liczbie argumentów) można zapisać w postaci: y = (x 1, x 2, x 3 ) = y 0 K 0 +y 1 K 1 +y 2 K 2 +y 3 K 3 +y 4 K 4 +y 5 K 5 +y 6 K 6 +y 7 K 7 (2) zwanej kanoniczną postacią alternatywną danej funkcji, gdzie: y 0 - wartość zmiennej zależnej funkcji przy zerowym stanie argumentów, y 1 - wartość funkcji przy pierwszym stanie argumentów, itd. lub w postaci y = (x 1, x 2, x 3 ) = (y 0 + D 0 )(y 1 + D 1 )(y 2 + D 2 )(y 3 + D 3 ) (y 4 + D 4 )(y 5 + D 5 )(y 6 + D 6 )(y 7 + D 7 ) (3) zwanej kanoniczną postacią koniunkcyjną danej funkcji.

6 Synteza funkcji logicznych Przykład dana jest funkcja w postaci tablicy wartości Kanoniczna postać alternatywna: y = f (x 1, x 2, x 3 ) = 1 K K K K K K K K 7 (4) Po usunięciu składników o wartości 0 y = f (x 1, x 2, x 3 ) = K 0 +K 1 +K 4 +K 5 +K 6 +K 7 (5) Funkcję tę można przedstawić w postaci symbolicznej (liczbowej): y = 0, 1, 4, 5, 6, 7 (6) Właściwym zapisem kanonicznej postaci alternatywnej danej funkcji jest: y = x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 (7)

7 Synteza funkcji logicznych Kanoniczna postać koniunkcyjna: y = f (x 1, x 2, x 3 ) = (1 + D 0 )(1 + D 1 )(0 + D 2 ) (0 + D 3 )(1 + D 4 )(1 + D 5 )(1 + D 6 )(1 + D 7 ) (8) Po usunięciu czynników o wartości 1, otrzymuje się y = f (x 1, x 2, x 3 ) = D 2 D 3 (9) Funkcję tę można przedstawić w postaci symbolicznej (liczbowej): y = 0, 1, 4, 5, 6, 7 (10) Właściwym zapisem kanonicznej postaci koniunkcyjnej danej funkcji jest: y = (x 1 + x 2 + x 3 )(x 1 + x 2 + x 3 ) (11)

8 Synteza funkcji logicznych Postacie kanoniczne są algebraiczną formą zapisu dowolnie złożonych funkcji logicznych. Są one tworzone z wykorzystaniem tylko trzech operacji logicznych: alternatywy, koniunkcji i negacji. Zestaw (zbiór) funkcji logicznych umożliwiający tworzenie algebraicznych zapisów dowolnych funkcji logicznych nazywa się systemem funkcjonalnie pełnym. Zestaw funkcji: alternatywa, koniunkcja i negacja nazywany jest podstawowym systemem funkcjonalnie pełnym. Systemami funkcjonalnie pełnymi są także: i inne. alternatywa i negacja, koniunkcja i negacja, funkcja NOR, funkcja NAND

9 Minimalizacja funkcji logicznych Na ogół, korzystając z praw algebry Boole a, można przekształcać postacie kanoniczne w celu zmniejszenia liczby występujących w nich elementarnych operacji logicznych, co nazywamy minimalizacją funkcji logicznych. Podstawową czynnością przy poszukiwaniu możliwości minimalizacji postaci kanonicznych jest poszukiwanie par składników jedności lub par czynników zera, nad którymi można wykonać tzw. operację sklejania. Operacja sklejania (sklejanie), w przypadku minimalizacji kanonicznej postaci alternatywnej, polega na wykonaniu działań typu a b + a b = a (b + b) = a 1 = a (12) gdzie: a reprezentuję jednakową część obu składników, b - zmienną różniącą się znakiem negacji Przykład: x 1 x 2 x 3 + x 1 x 2 x 3 = x 1 x 2 (13)

10 Minimalizacja funkcji logicznych W przypadku minimalizacji kanonicznej postaci koniunkcyjnej, operacja sklejania polega na wykonaniu działań typu (a + b)(a + b) = a + (bb) = a + 0 = a (14) gdzie: a reprezentuję jednakową część obu składników, b - zmienną różniącą się znakiem negacji Przykład: (x 1 + x 2 + x 3 )(x 1 + x 2 + x 3 ) = x 1 + x 2 (15) Metoda minimalizacji polegająca na wykonywaniu kolejnych przekształceń pierwotnego zapisu funkcji w postaci kanonicznej nazywa się metodą przekształceń algebraicznych.

11 Minimalizacja funkcji logicznych Inne metody minimalizacji: metoda Quine a McCluskey a, metoda tablic Karnaugha, usprawniają jedynie procedurę poszukiwania możliwości i wykonywania operacji sklejania. Postać funkcji uzyskana w wyniku wykonaniu wszystkich możliwych sklejeń w kanonicznej postaci alternatywnej nazywa się normalną postacią alternatywną. Postać funkcji uzyskana w wyniku wykonaniu wszystkich możliwych sklejeń w kanonicznej postaci koniunkcyjnej nazywa się normalną postacią koniunkcyjną. Postacie normalne nie zawsze są opisem wykorzystującym najmniejszą z możliwych operacji logicznych.

12 Minimalizacja funkcji logicznych Zmniejszenie liczby operacji logicznych występujących w normalnej postaci alternatywnej jest możliwe jeżeli z dwóch lub więcej elementarnych iloczynów można wyprowadzić przed nawias wspólny czynnik (prawo o rozdzielności mnożenia względem dodawania), np. x 1 x 2 x 3 + x 1 x 2 x 3 = x 1 (x 2 x 3 + x 2 x 3 ) (16) Zmniejszenie liczby operacji logicznych występujących w normalnej postaci koniunkcyjnej jest możliwe jeżeli z dwóch lub więcej elementarnych sum można wyprowadzić przed nawias wspólny składnik (prawo o rozdzielności dodawania względem mnożenia), np. (x 1 + x 2 + x 3 )(x 1 + x 2 + x 3 ) = x 1 + (x 2 + x 3 )(x 2 + x 3 ) (17) Operacje takie nazywane są faktoryzacją.

13 Minimalizacja metodą przekształceń algebraicznych Zminimalizujmy funkcję zdefiniowaną w postaci tablicy wartości: Kanoniczna postać alternatywna funkcji ma postać y = x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 + x 1 x 2 x 3 (18) Można w niej zauważyć pokazane możliwości sklejeń y = x 1 x 2 x 3 + x 1 x 2 x }{{} 3 + x 1x 2 x 3 + x 1 x 2 x }{{} x 1 x 2 x 3 + x 1 x 2 x 3 }{{} = x 1x 2 + x 1 x 2 + x 1 x 2 W uzyskanym wyniku widoczna jest możliwość dalszego sklejania - środkowy składnik można skleić z pierwszym i z trzecim. (19)

14 Minimalizacja metodą przekształceń algebraicznych Korzystając z twierdzenia algebry Boole a x + x = x,środkowy składnik można traktować jakby wystąpił dwukrotnie. Zatem: y = x 1 x 2 + x 1 x 2 + x 1 x 2 = x 1 x 2 + x 1 x 2 }{{} + x 1x 2 + x 1 x 2 }{{} = x 2 + x 1 (20) Uzyskana postać funkcji y = x 2 + x 1 jest postacią minimalną. Kanoniczna postać koniunkcyjna rozważanej funkcji ma postać: y = (x 1 + x 2 + x 3 )(x 1 + x 2 + x 3 ) (21) Występujące w niej czynniki zera różnią się znakiem negacji przy zmiennej x 3, zatem w rezultacie sklejenia obu czynników otrzymuje się postać minimalną y = x 1 + x 2 = x 2 + x 1 (22)

15 Metoda tablic Karnaugha Tablice Karnaugha są specyficzną formą tablic wartości funkcji Rysunek: Tablica Karnaugha Rysunek: Tablica zwykła dla funkcji trzyargumentowych Rysunek: Tablica Karnaugha z numerami stanu argumentów

16 Metoda tablic Karnaugha W tablicach Karnaugha wartości zmiennej zależnej y są wpisywane w pola tablicy,które odpowiadają wartościom argumentów wypisanych na obrzeżach tablicy. Charakterystyczną cechą tablic Karnaugha jest to, że sąsiednie wartości stanów argumentów różnią się tylko jedną pozycją (wartości argumentów są kolejnymi liczbami w kodzie Graya). Dzięki temu, składniki jedności funkcji (albo czynniki zera) o numerach znajdujących się w polach sąsiednich można sklejać. Rysunek: Tablica Karnaugha z numerami stanu argumentów Polami sąsiednimi są np. pola 0 i 1, 0 i 2, 4 i 6, 0 i 4 itd.

17 Metoda tablic Karnaugha Przykład 1: minimalizacja postaci alternatywnej Rysunek: Tablica Karnaugha Funkcja przyjmuje wartość 1 w stanach argumentów 0 i 1, co oznacza, że kanoniczna postać alternatywna funkcji jest sumą logiczną składników jedności K 0 i K 1, które można skleić: y = K 0 + K 1 = x 1 x 2 x 3 + x 1 x 2 x 3 = x 1 x 2 (23) Mówi się, że zostały sklejone jedynki, znajdujące się w polach 0 i 1. Praktycznie wynik sklejania ustala się bezpośrednio na podstawie wartości argumentów jednakowych dla obu pól. Polom 0 i 1 odpowiadają wartości x 1 = 0 i x 2 = 0; dlatego y = 00 = x 1 x 2 (24)

18 Metoda tablic Karnaugha Przykład 2: minimalizacja postaci koniunkcyjnej Rysunek: Tablica Karnaugha Funkcja przyjmuje wartość 0 w stanach argumentów 0 i 1, co oznacza, że kanoniczna postać koniunkcyjna funkcji jest iloczynem logicznym czynników zera D 0 i D 1, które można skleić. y = D 0 D 1 = (x 1 + x 2 + x 3 ) (x 1 + x 2 + x 3 ) (25) Mówi się, że zostały sklejone zera, znajdujące się w polach 0 i 1. Praktycznie wynik sklejania ustala się bezpośrednio na podstawie wartości argumentów jednakowych dla obu pól. Polom 0 i 1 odpowiadają wartości x 1 = 0 i x 2 = 0; dlatego y = 00 = x 1 + x 2 (26)

19 Metoda tablic Karnaugha Dzięki usytuowaniu wartości argumentów w tablicach Karnaugha, sklejają się wyniki sklejeń sąsiednich par jedynek albo sąsiednich par zer. Przykład 3 Rysunek: Tablica Karnaugha Funkcja przyjmuje wartość 1 w stanach argumentów 0, 1, 4 i 5, co oznacza, że kanoniczna postać alternatywna funkcji jest sumą logiczną składników jedności K 0, K 1, K 4 i K 5, które można skleić Wynik sklejania otrzymuje się na podstawie wartości argumentu nie zmieniającego się dla sklejanych jedynek. Ponieważ dla tych jedynek x 2 = 0, to y = x 2 (27)

20 Metoda tablic Karnaugha Sąsiednimi parami jedynek, dającymi się skleić są także pary poziome. Przykład 4 Rysunek: Tablica Karnaugha Sklejając czwórkę jedynek lub czwórkę zer, otrzymuje się y = x 1 (28) Dla funkcji trójargumentowych można także wykorzystywać tablice Karnaugha w układzie pionowym

21 Metoda tablic Karnaugha Rysunek: Tablice Karnaugha dla funkcji dwu- i czteroargumentowych Tablice Karnaugha umożliwiają także minimalizację funkcji pięcio- i sześcioargumentowych.

22 Metoda tablic Karnaugha Rysunek: Przykłady minimalizacji funkcji trójargumentowych.

23 Metoda tablic Karnaugha Rysunek: Przykłady minimalizacji funkcji czteroargumentowych.

24 Minimalizacja funkcji logicznych nie w pełni określonych Funkcjami logicznymi nie w pełni określonymi nazywają się funkcje, które dla niektórych stanów argumentów nie mają określonych wartości. W tablicach wartości takich funkcji w stanach nie określonych zamiast wartości zmiennej zależnej wpisuje się kreskę. W liczbowych zapisach funkcji nie w pełni określonych numery stanów nie określonych podaje się w nawiasach, np. y(x 1, x 2, x 3, x 4 ) = 0, 1, 2, 3, 4, 9, 11(5, 7, 13, 15) = = 6, 8, 10, 12, 14(5, 7, 13, 15) (29)

25 Minimalizacja funkcji logicznych nie w pełni określonych Przykład 5a: Minimalizacja postaci alternatywnej funkcji nie w pełni określonej Rysunek: Tablica Karnaugha Sklejając jedynki w efekcie uzyskuje się normalna postać alternatywną funkcji. W takim przypadku korzystnie jest przyjąć, że we wszystkich stanach nie w pełni określonych zmienna zależna przyjmuje wartość 1. y = x 1 x 2 +x 1 x 3 +x 4 (30)

26 Minimalizacja funkcji logicznych nie w pełni określonych Przykład 5b: Minimalizacja postaci koniunkcyjnej funkcji nie w pełni określonej Rysunek: Tablica Karnaugha y = (x 2 +x 3 ) (x 1 +x 4 ) (31) W przypadku sklejania zer, co prowadzi do uzyskania normalnej postaci koniunkcyjnej, najprostszą postać funkcji uzyskuje się przyjmując, że w dwóch stanach nie w pełni określonych zmienna zależna przyjmuje wartość 0 (a więc w pozostałych przyjmuje wartość 1). Zatem funkcja uzyskana w wyniku sklejania zer jest inną niż funkcja uzyskana w wyniku sklejania jedynek - choć pożądane działanie jest takie samo, różnice dotyczą tylko stanów nie określonych.

27 Wykład 12 - synteza i minimalizacja funkcji logicznych Instytut Automatyki i Robotyki Warszawa, 2017

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2015 Kody liczb całkowitych nieujemnych Kody liczbowe dzielimy na analityczne nieanalityczne (symboliczne)

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

dr inż. Małgorzata Langer Architektura komputerów

dr inż. Małgorzata Langer Architektura komputerów Instrukcja współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie Innowacyjna dydaktyka bez ograniczeń zintegrowany rozwój Politechniki Łódzkiej zarządzanie Uczelnią,

Bardziej szczegółowo

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 1 Techniki Mikroprocesorowe dr inż. Artur Cichowski ix jy i j {0,1} {0,1} Dla układów kombinacyjnych stan dowolnego wyjścia y i w danej chwili czasu zależy wyłącznie od aktualnej kombinacji stanów

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Metoda Karnaugh. B A BC A

Metoda Karnaugh. B A BC A Metoda Karnaugh. Powszechnie uważa się, iż układ o mniejszej liczbie elementów jest tańszy i bardziej niezawodny, a spośród dwóch układów o takiej samej liczbie elementów logicznych lepszy jest ten, który

Bardziej szczegółowo

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a i układy logiczne 1 Elementy logiki dla informatyków Wykład III Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a

Bardziej szczegółowo

dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle" POKL

dr inż. Rafał Klaus Zajęcia finansowane z projektu Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle POKL Technika cyfrowa w architekturze komputerów materiał do wykładu 2/3 dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia na Politechnice Poznańskiej w zakresie technologii

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych.

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych. DODATEK: FUNKCJE LOGICZNE CD. 1 FUNKCJE LOGICZNE 1. Tablice Karnaugha Do reprezentacji funkcji boolowskiej n-zmiennych można wykorzystać tablicę prawdy o 2 n wierszach lub np. tablice Karnaugha. Tablica

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Algebra Boole a

Wstęp do Techniki Cyfrowej... Algebra Boole a Wstęp do Techniki Cyfrowej... Algebra Boole a Po co AB? Świetne narzędzie do analitycznego opisu układów logicznych. 1854r. George Boole opisuje swój system dedukcyjny. Ukoronowanie zapoczątkowanych w

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna.

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Architektura komputerów ćwiczenia Zbiór zadań IV Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Wprowadzenie 1 1 fragmenty książki "Organizacja i architektura systemu

Bardziej szczegółowo

WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO

WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO Przedmiot: PODSTAWY AUTOMATYKI I AUTOMATYZACJI (studia I stopnia) ĆWICZENIE RACHUNKOWE PROJEKT PROSTEGO

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów Podstawy Automatyki i Automatyzacji - Ćwiczenia Laboratoryjne mgr inż.

Bardziej szczegółowo

Minimalizacja form boolowskich

Minimalizacja form boolowskich Sławomir Kulesza Technika cyfrowa Minimalizacja form boolowskich Wykład dla studentów III roku Informatyki Wersja 1.0, 05/10/2010 Minimalizacja form boolowskich Minimalizacja proces przekształcania form

Bardziej szczegółowo

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych

Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1 Podstawowe operacje arytmetyczne i logiczne dla liczb binarnych 1. Podstawowe operacje logiczne dla cyfr binarnych Jeśli cyfry 0 i 1 potraktujemy tak, jak wartości logiczne fałsz i prawda, to działanie

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów pneumatycznych i elektrycznych Podstawy Automatyki i Automatyzacji

Bardziej szczegółowo

Arytmetyka liczb binarnych

Arytmetyka liczb binarnych Wartość dwójkowej liczby stałoprzecinkowej Wartość dziesiętna stałoprzecinkowej liczby binarnej Arytmetyka liczb binarnych b n-1...b 1 b 0,b -1 b -2...b -m = b n-1 2 n-1 +... + b 1 2 1 + b 0 2 0 + b -1

Bardziej szczegółowo

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji.

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji. Algebra Boole a Algebrą Boole a nazywamy zbiór B, wyróżnione jego podzbiory O i I oraz operacje dwuargumentowe +;, które dla dowolnych elementów X, Y, Z zbioru B spełniają następujące aksjomaty: X+Y B;

Bardziej szczegółowo

Minimalizacja funkcji boolowskich - wykład 2

Minimalizacja funkcji boolowskich - wykład 2 SWB - Minimalizacja funkcji boolowskich - wykład 2 asz 1 Minimalizacja funkcji boolowskich - wykład 2 Adam Szmigielski aszmigie@pjwstk.edu.pl Laboratorium robotyki s09 SWB - Minimalizacja funkcji boolowskich

Bardziej szczegółowo

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3.. ZASADY OGÓLNE 3... ZAPIS FUNKCJI Synteza układów przełączających to zespól czynności, które n-i podstawie założeń dotyczących działania układów doprowadza ją do schematu

Bardziej szczegółowo

Cyfrowe bramki logiczne 2012

Cyfrowe bramki logiczne 2012 LORTORIUM ELEKTRONIKI yfrowe bramki logiczne 2012 ndrzej Malinowski 1. yfrowe bramki logiczne 3 1.1 el ćwiczenia 3 1.2 Elementy algebry oole`a 3 1.3 Sposoby zapisu funkcji logicznych 4 1.4 Minimalizacja

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej i Mikroelektroniki

Wstęp do Techniki Cyfrowej i Mikroelektroniki Wstęp do Techniki Cyfrowej i Mikroelektroniki dr inż. Maciej Piotrowicz Katedra Mikroelektroniki i Technik Informatycznych PŁ piotrowi@dmcs.p.lodz.pl http://fiona.dmcs.pl/~piotrowi -> Wstęp do... Układy

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Temat lekcji: Minimalizacja funkcji logicznych Etapy lekcji: 1. Podanie tematu i określenie celu lekcji SOSOBY MINIMALIZACJI

Bardziej szczegółowo

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały:

Dr inż. Jan Chudzikiewicz Pokój 117/65 Tel Materiały: Dr inż Jan Chudzikiewicz Pokój 7/65 Tel 683-77-67 E-mail: jchudzikiewicz@watedupl Materiały: http://wwwitawatedupl/~jchudzikiewicz/ Warunki zaliczenie: Otrzymanie pozytywnej oceny z kolokwium zaliczeniowego

Bardziej szczegółowo

Logika binarna. Prawo łączności mówimy, że operator binarny * na zbiorze S jest łączny gdy (x * y) * z = x * (y * z) dla każdego x, y, z S.

Logika binarna. Prawo łączności mówimy, że operator binarny * na zbiorze S jest łączny gdy (x * y) * z = x * (y * z) dla każdego x, y, z S. Logika binarna Logika binarna zajmuje się zmiennymi mogącymi przyjmować dwie wartości dyskretne oraz operacjami mającymi znaczenie logiczne. Dwie wartości jakie mogą te zmienne przyjmować noszą przy tym

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

Automatyzacja Ćwicz. 2 Teoria mnogości i algebra logiki Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu

Automatyzacja Ćwicz. 2 Teoria mnogości i algebra logiki Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu Automatyzacja Ćwicz. 2 Teoria mnogości i algebra logiki Historia teorii mnogości Teoria mnogości to inaczej nauka o zbiorach i ich własnościach; Zapoczątkowana przez greckich matematyków i filozofów w

Bardziej szczegółowo

Funkcja Boolowska. f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest matematycznym modelem układu kombinacyjnego.

Funkcja Boolowska. f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest matematycznym modelem układu kombinacyjnego. SWB - Minimalizacja funkcji boolowskich - wykład 2 asz 1 Funkcja Boolowska Funkcja boolowskanargumentową nazywamy odwzorowanie f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

Algebra Boole a i jej zastosowania

Algebra Boole a i jej zastosowania lgebra oole a i jej zastosowania Wprowadzenie Niech dany będzie zbiór dwuelementowy, którego elementy oznaczymy symbolami 0 oraz 1, tj. {0, 1}. W zbiorze tym określamy działania sumy :, iloczynu : _ oraz

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia:

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia: Warszawa 207 Cel ćwiczenia rachunkowego Podczas ćwiczenia poruszane będą następujące zagadnienia: modelowanie i synteza kombinacyjnych układów przełączających; minimalizacja funkcji przełączającej; projektowanie

Bardziej szczegółowo

Automatyka Lab 1 Teoria mnogości i algebra logiki. Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu

Automatyka Lab 1 Teoria mnogości i algebra logiki. Akademia Morska w Szczecinie - Wydział Inżynieryjno-Ekonomiczny Transportu Automatyka Lab 1 Teoria mnogości i algebra logiki Harmonogram zajęć Układy przełączające: 1. Algebra logiki - Wprowadzenie 2. Funkcje logiczne - minimalizacja funkcji 3. Bramki logiczne - rysowanie układów

Bardziej szczegółowo

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład)

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład) Wstęp doinformatyki Układy logiczne komputerów kombinacyjne sekwencyjne Układy logiczne Układy kombinacyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 synchroniczne asynchroniczne Wstęp

Bardziej szczegółowo

Minimalizacja formuł Boolowskich

Minimalizacja formuł Boolowskich Minimalizacja formuł Boolowskich Stosowanie reguł algebry Boole a w celu minimalizacji funkcji logicznych jest niedogodne brak metody, aby stwierdzić czy dana formuła może być jeszcze minimalizowana czasami

Bardziej szczegółowo

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia Opracował: dr inż. Jarosław Mierzwa KTER INFORMTKI TEHNIZNEJ Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 202 Temat: Układy kombinacyjne 1. el ćwiczenia Ćwiczenie ma na celu praktyczne zapoznanie

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

UKŁADY KOMBINACYJNE (BRAMKI: AND, OR, NAND, NOR, NOT)

UKŁADY KOMBINACYJNE (BRAMKI: AND, OR, NAND, NOR, NOT) LORTORIUM PODSTWY ELEKTRONIKI UKŁDY KOMINCYJNE (RMKI: ND, OR, NND, NOR, NOT) Cel ćwiczenia Zapoznanie się z budową i zasadą działania podstawowych funktorów (bramek) układów kombinacyjnych, jak równieŝ

Bardziej szczegółowo

Minimalizacja funkcji boolowskich

Minimalizacja funkcji boolowskich Minimalizacja funkcji boolowskich Zagadnienie intensywnych prac badawczych od początku lat pięćdziesiątych 20 wieku. Ogromny wzrost zainteresowania minimalizacją f.b. powstał ponownie w latach 80. rzyczyna:

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Stan wysoki (H) i stan niski (L)

Stan wysoki (H) i stan niski (L) PODSTAWY Przez układy cyfrowe rozumiemy układy, w których w każdej chwili występują tylko dwa (zwykle) możliwe stany, np. tranzystor, jako element układu cyfrowego, może być albo w stanie nasycenia, albo

Bardziej szczegółowo

Wykład 2. Informatyka Stosowana. 8 października 2018, M. A-B. Informatyka Stosowana Wykład 2 8 października 2018, M. A-B 1 / 41

Wykład 2. Informatyka Stosowana. 8 października 2018, M. A-B. Informatyka Stosowana Wykład 2 8 października 2018, M. A-B 1 / 41 Wykład 2 Informatyka Stosowana 8 października 2018, M. A-B Informatyka Stosowana Wykład 2 8 października 2018, M. A-B 1 / 41 Elementy logiki matematycznej Informatyka Stosowana Wykład 2 8 października

Bardziej szczegółowo

0.1. Logika podstawowe pojęcia: zdania i funktory, reguły wnioskowania, zmienne zdaniowe, rachunek zdań.

0.1. Logika podstawowe pojęcia: zdania i funktory, reguły wnioskowania, zmienne zdaniowe, rachunek zdań. Wykłady z Analizy rzeczywistej i zespolonej w Matematyce stosowanej Wykład ELEMENTY LOGIKI ALGEBRA BOOLE A Logika podstawowe pojęcia: zdania i funktory, reguły wnioskowania, zmienne zdaniowe, rachunek

Bardziej szczegółowo

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych 98 3. Synteza układów kombimtcyjnych funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych ma postać y = a po ich uwzględnieniu y = oo ot 1-0 1 0 y S ODO ooi on oio w tu 101

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Układy kombinacyjne

Wstęp do Techniki Cyfrowej... Układy kombinacyjne Wstęp do Techniki Cyfrowej... Układy kombinacyjne Przypomnienie Stan wejść układu kombinacyjnego jednoznacznie określa stan wyjść. Poszczególne wyjścia określane są przez funkcje boolowskie zmiennych wejściowych.

Bardziej szczegółowo

3. FUNKCJA LINIOWA. gdzie ; ół,.

3. FUNKCJA LINIOWA. gdzie ; ół,. 1 WYKŁAD 3 3. FUNKCJA LINIOWA FUNKCJĄ LINIOWĄ nazywamy funkcję typu : dla, gdzie ; ół,. Załóżmy na początek, że wyraz wolny. Wtedy mamy do czynienia z funkcją typu :.. Wykresem tej funkcji jest prosta

Bardziej szczegółowo

Minimalizacja funkcji boolowskich

Minimalizacja funkcji boolowskich Minimalizacja funkcji boolowskich Zagadnienie intensywnych prac badawczych od początku lat pięćdziesiątych 2 wieku. Ogromny wzrost zainteresowania minimalizacją f.b. powstał ponownie w latach 8. rzyczyna:

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW INSTYTUT YERNETYKI TEHNIZNEJ POLITEHNIKI WROŁWSKIEJ ZKŁD SZTUZNEJ INTELIGENJI I UTOMTÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 22 temat: UKŁDY KOMINYJNE. EL ĆWIZENI Ćwiczenie ma na

Bardziej szczegółowo

x x

x x DODTEK II - Inne sposoby realizacji funkcji logicznych W kolejnych podpunktach zaprezentowano sposoby realizacji przykładowej funkcji (tej samej co w instrukcji do ćwiczenia "Synteza układów kombinacyjnych")

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

PODSTAWY AUTOMATYKI. MATLAB - komputerowe środowisko obliczeń naukowoinżynierskich - podstawowe operacje na liczbach i macierzach.

PODSTAWY AUTOMATYKI. MATLAB - komputerowe środowisko obliczeń naukowoinżynierskich - podstawowe operacje na liczbach i macierzach. WYDZIAŁ ELEKTROTECHNIKI I AUTOMATYKI Katedra Inżynierii Systemów Sterowania PODSTAWY AUTOMATYKI MATLAB - komputerowe środowisko obliczeń naukowoinżynierskich - podstawowe operacje na liczbach i macierzach.

Bardziej szczegółowo

WYRAŻENIA ALGEBRAICZNE

WYRAŻENIA ALGEBRAICZNE WYRAŻENIA ALGEBRAICZNE Wyrażeniem algebraicznym nazywamy wyrażenie zbudowane z liczb, liter, nawiasów oraz znaków działań, na przykład: Symbole literowe występujące w wyrażeniu algebraicznym nazywamy zmiennymi.

Bardziej szczegółowo

Kod znak-moduł. Wartość liczby wynosi. Reprezentacja liczb w kodzie ZM w 8-bitowym formacie:

Kod znak-moduł. Wartość liczby wynosi. Reprezentacja liczb w kodzie ZM w 8-bitowym formacie: Wykład 3 3-1 Reprezentacja liczb całkowitych ze znakiem Do przedstawienia liczb całkowitych ze znakiem stosowane są następujące kody: - ZM (znak-moduł) - U1 (uzupełnienie do 1) - U2 (uzupełnienie do 2)

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 4 BADANIE BRAMEK LOGICZNYCH A. Cel ćwiczenia. - Poznanie zasad logiki binarnej. Prawa algebry Boole

Bardziej szczegółowo

Samodzielnie wykonaj następujące operacje: 13 / 2 = 30 / 5 = 73 / 15 = 15 / 23 = 13 % 2 = 30 % 5 = 73 % 15 = 15 % 23 =

Samodzielnie wykonaj następujące operacje: 13 / 2 = 30 / 5 = 73 / 15 = 15 / 23 = 13 % 2 = 30 % 5 = 73 % 15 = 15 % 23 = Systemy liczbowe Dla każdej liczby naturalnej x Î N oraz liczby naturalnej p >= 2 istnieją jednoznacznie wyznaczone: liczba n Î N oraz ciąg cyfr c 0, c 1,..., c n-1 (gdzie ck Î {0, 1,..., p - 1}) taki,

Bardziej szczegółowo

Podstawy Informatyki

Podstawy Informatyki Podstawy Informatyki Bożena Woźna-Szcześniak bwozna@gmail.com Jan Długosz University, Poland Wykład 3 Bożena Woźna-Szcześniak (AJD) Podstawy Informatyki Wykład 3 1 / 42 Reprezentacja liczb całkowitych

Bardziej szczegółowo

Andrzej Wiśniewski Logika I Materiały do wykładu dla studentów kognitywistyki. Wykład 9. Koniunkcyjne postacie normalne i rezolucja w KRZ

Andrzej Wiśniewski Logika I Materiały do wykładu dla studentów kognitywistyki. Wykład 9. Koniunkcyjne postacie normalne i rezolucja w KRZ Andrzej Wiśniewski Logika I Materiały do wykładu dla studentów kognitywistyki Wykład 9. Koniunkcyjne postacie normalne i rezolucja w KRZ 1 Inferencyjna równoważność formuł Definicja 9.1. Formuła A jest

Bardziej szczegółowo

1. Liczby zespolone. Jacek Jędrzejewski 2011/2012

1. Liczby zespolone. Jacek Jędrzejewski 2011/2012 1. Liczby zespolone Jacek Jędrzejewski 2011/2012 Spis treści 1 Liczby zespolone 2 1.1 Definicja liczby zespolonej.................... 2 1.2 Postać kanoniczna liczby zespolonej............... 1. Postać

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Wykład jest przygotowany dla IV semestru kierunku Elektronika i Telekomunikacja. Studia I stopnia Dr inż. Małgorzata Langer Architektura komputerów Prezentacja multimedialna współfinansowana przez Unię

Bardziej szczegółowo

Algorytmy i struktury danych. Wykład 4

Algorytmy i struktury danych. Wykład 4 Wykład 4 Różne algorytmy - obliczenia 1. Obliczanie wartości wielomianu 2. Szybkie potęgowanie 3. Algorytm Euklidesa, liczby pierwsze, faktoryzacja liczby naturalnej 2017-11-24 Algorytmy i struktury danych

Bardziej szczegółowo

Programowanie celowe #1

Programowanie celowe #1 Programowanie celowe #1 Problem programowania celowego (PC) jest przykładem problemu programowania matematycznego nieliniowego, który można skutecznie zlinearyzować, tzn. zapisać (i rozwiązać) jako problem

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Podstawy Automatyki. Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 15 - Projektowanie układów asynchronicznych o programach liniowych Instytut Automatyki i Robotyki Warszawa, 2015 Układy o programach liniowych - Przykład Zaprojektować procesowo-zależny układ sterowania

Bardziej szczegółowo

Układy równań liniowych

Układy równań liniowych Układy równań liniowych Mirosław Sobolewski Wydział Matematyki, Informatyki i Mechaniki UW 1. wykład z algebry liniowej Warszawa, październik 2015 Mirosław Sobolewski (UW) Warszawa, wrzesień 2015 1 / 1

Bardziej szczegółowo

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18 Spis treści Przedmowa... 11 Wykaz oznaczeń... 13 1. Wstęp... 15 1.1. Układycyfrowe... 15 1.2. Krótki esej o projektowaniu.... 15 2. Układy kombinacyjne... 18 2.1. Podstawyprojektowaniaukładówkombinacyjnych...

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

METODY DOWODZENIA TWIERDZEŃ I AUTOMATYZACJA ROZUMOWAŃ

METODY DOWODZENIA TWIERDZEŃ I AUTOMATYZACJA ROZUMOWAŃ METODY DOWODZENIA TWIERDZEŃ I AUTOMATYZACJA ROZUMOWAŃ KONWERSATORIUM 6: REZOLUCJA V rok kognitywistyki UAM 1 Kilka uwag terminologicznych Słuchacze zapewne pamiętają z zajęć dotyczących PROLOGu poniższą

Bardziej szczegółowo

Mikrooperacje. Mikrooperacje arytmetyczne

Mikrooperacje. Mikrooperacje arytmetyczne Przygotowanie: Przemysław Sołtan e-mail: kerk@moskit.ie.tu.koszalin.pl Mikrooperacje Mikrooperacja to elementarna operacja wykonywana podczas jednego taktu zegara mikroprocesora na informacji przechowywanej

Bardziej szczegółowo

1.1. Pozycyjne systemy liczbowe

1.1. Pozycyjne systemy liczbowe 1.1. Pozycyjne systemy liczbowe Systemami liczenia nazywa się sposób tworzenia liczb ze znaków cyfrowych oraz zbiór reguł umożliwiających wykonywanie operacji arytmetycznych na liczbach. Dla dowolnego

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy sterowania logicznego Rok akademicki: 2030/2031 Kod: EEL-1-523-s Punkty ECTS: 5 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika

Bardziej szczegółowo

Luty 2001 Algorytmy (7) 2000/2001 s-rg@siwy.il.pw.edu.pl

Luty 2001 Algorytmy (7) 2000/2001 s-rg@siwy.il.pw.edu.pl System dziesiętny 7 * 10 4 + 3 * 10 3 + 0 * 10 2 + 5 *10 1 + 1 * 10 0 = 73051 Liczba 10 w tym zapisie nazywa się podstawą systemu liczenia. Jeśli liczba 73051 byłaby zapisana w systemie ósemkowym, co powinniśmy

Bardziej szczegółowo

NIEDZIESIĄTKOWE SYSTEMY LICZENIA.

NIEDZIESIĄTKOWE SYSTEMY LICZENIA. NIEDZIESIĄTKOWE SYSTEMY LICZENIA. Inspiracją do powstania artykułu było popularne powiedzenie :,,... to jest oczywiste jak 2 x 2 jest 4. To powiedzenie pokazuje jak bardzo system dziesiętny zakorzenił

Bardziej szczegółowo

Minimalizacja form boolowskich UC1, 2009

Minimalizacja form boolowskich UC1, 2009 Minimalizacja form boolowskich UC, 29 mplikanty funkcji boolowskiej UC, 29 2 mplikanty funkcji boolowskiej UC, 29 3 Metody minimalizacji UC, 29 4 Siatki Karnaugh UC, 29 5 Siatki Karnaugh UC, 29 Stosowanie

Bardziej szczegółowo

dr inż. Jarosław Forenc

dr inż. Jarosław Forenc Informatyka Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia stacjonarne I stopnia Rok akademicki 8/9 Wykład nr 4 (.3.9) Rok akademicki 8/9, Wykład nr 4 /33 Plan wykładu

Bardziej szczegółowo

ARYTMETYKA BINARNA. Dziesiątkowy system pozycyjny nie jest jedynym sposobem kodowania liczb z jakim mamy na co dzień do czynienia.

ARYTMETYKA BINARNA. Dziesiątkowy system pozycyjny nie jest jedynym sposobem kodowania liczb z jakim mamy na co dzień do czynienia. ARYTMETYKA BINARNA ROZWINIĘCIE DWÓJKOWE Jednym z najlepiej znanych sposobów kodowania informacji zawartej w liczbach jest kodowanie w dziesiątkowym systemie pozycyjnym, w którym dla przedstawienia liczb

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

DYDAKTYKA ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE

DYDAKTYKA ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE ZAGADNIENIA CYFROWE @KEMOR SPIS TREŚCI. SYSTEMY LICZBOWE...3.. SYSTEM DZIESIĘTNY...3.2. SYSTEM DWÓJKOWY...3.3. SYSTEM SZESNASTKOWY...4 2. PODSTAWOWE OPERACJE NA LICZBACH BINARNYCH...5

Bardziej szczegółowo

Laboratorium elektroniki. Ćwiczenie E52IS. Realizacja logicznych układów kombinacyjnych z bramek NOR. Wersja 1.0 (24 marca 2016)

Laboratorium elektroniki. Ćwiczenie E52IS. Realizacja logicznych układów kombinacyjnych z bramek NOR. Wersja 1.0 (24 marca 2016) Laboratorium elektroniki Ćwiczenie E52IS Realizacja logicznych układów kombinacyjnych z bramek NOR Wersja 1.0 (24 marca 2016) Spis treści: 1. Cel ćwiczenia... 3 2. Zagrożenia... 3 3. Wprowadzenie teoretyczne...

Bardziej szczegółowo

Podstawy techniki cyfrowej

Podstawy techniki cyfrowej Podstawy techniki cyfrowej Wykład 1: Wstęp Dr hab. inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Informacje o przedmiocie Wprowadzenie Podstawy matematyczne:

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

Macierze. Rozdział Działania na macierzach

Macierze. Rozdział Działania na macierzach Rozdział 5 Macierze Funkcję, która każdej parze liczb naturalnych (i, j) (i 1,..., n; j 1,..., m) przyporządkowuje dokładnie jedną liczbę a ij F, gdzie F R lub F C, nazywamy macierzą (rzeczywistą, gdy

Bardziej szczegółowo

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1 Plan wykładu 1. Wprowadzenie, funkcje boolowskie i bramki logiczne, 2. Minimalizacja funkcji boolowskich, 3. Kombinacyjne bloki

Bardziej szczegółowo

Kodowanie informacji. Kody liczbowe

Kodowanie informacji. Kody liczbowe Wykład 2 2-1 Kodowanie informacji PoniewaŜ komputer jest urządzeniem zbudowanym z układów cyfrowych, informacja przetwarzana przez niego musi być reprezentowana przy pomocy dwóch stanów - wysokiego i niskiego,

Bardziej szczegółowo

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Podstawy Automatyki Człowiek- najlepsza inwestycja Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Politechnika Warszawska Instytut Automatyki i Robotyki Dr inż.

Bardziej szczegółowo

Podstawowe struktury algebraiczne

Podstawowe struktury algebraiczne Maciej Grzesiak Podstawowe struktury algebraiczne 1. Wprowadzenie Przedmiotem algebry było niegdyś przede wszystkim rozwiązywanie równań. Obecnie algebra staje się coraz bardziej nauką o systemach matematycznych.

Bardziej szczegółowo

Metalogika (1) Jerzy Pogonowski. Uniwersytet Opolski. Zakład Logiki Stosowanej UAM

Metalogika (1) Jerzy Pogonowski. Uniwersytet Opolski. Zakład Logiki Stosowanej UAM Metalogika (1) Jerzy Pogonowski Zakład Logiki Stosowanej UAM www.logic.amu.edu.pl pogon@amu.edu.pl Uniwersytet Opolski Jerzy Pogonowski (MEG) Metalogika (1) Uniwersytet Opolski 1 / 21 Wstęp Cel: wprowadzenie

Bardziej szczegółowo

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ KDEMI MORSK KTEDR NWIGCJI TECHNICZEJ ELEMETY ELEKTRONIKI LORTORIUM Kierunek NWIGCJ Specjalność Transport morski Semestr II Ćw. 4 Podstawy techniki cyfrowej Wersja opracowania Marzec 5 Opracowanie: mgr

Bardziej szczegółowo

Wykład I: Kodowanie liczb w systemach binarnych. Studia Podyplomowe INFORMATYKA Podstawy Informatyki

Wykład I: Kodowanie liczb w systemach binarnych. Studia Podyplomowe INFORMATYKA Podstawy Informatyki Studia Podyplomowe INFORMATYKA Podstawy Informatyki Wykład I: Kodowanie liczb w systemach binarnych 1 Część 1 Dlaczego system binarny? 2 I. Dlaczego system binarny? Pojęcie bitu Bit jednostka informacji

Bardziej szczegółowo

Elementy logiki. Wojciech Buszkowski Wydział Matematyki i Informatyki UAM Zakład Teorii Obliczeń

Elementy logiki. Wojciech Buszkowski Wydział Matematyki i Informatyki UAM Zakład Teorii Obliczeń Elementy logiki Wojciech Buszkowski Wydział Matematyki i Informatyki UAM Zakład Teorii Obliczeń 1 Klasyczny Rachunek Zdań 1.1 Spójniki logiczne Zdaniem w sensie logicznym nazywamy wyrażenie, które jest

Bardziej szczegółowo

SYSTEMY LICZBOWE. Zapis w systemie dziesiętnym

SYSTEMY LICZBOWE. Zapis w systemie dziesiętnym SYSTEMY LICZBOWE 1. Systemy liczbowe Najpopularniejszym systemem liczenia jest system dziesiętny, który doskonale sprawdza się w życiu codziennym. Jednak jego praktyczna realizacja w elektronice cyfrowej

Bardziej szczegółowo

2019/09/16 07:46 1/2 Laboratorium AITUC

2019/09/16 07:46 1/2 Laboratorium AITUC 2019/09/16 07:46 1/2 Laboratorium AITUC Table of Contents Laboratorium AITUC... 1 Uwagi praktyczne przed rozpoczęciem zajęć... 1 Lab 1: Układy kombinacyjne małej i średniej skali integracji... 1 Lab 2:

Bardziej szczegółowo

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Olimpiada O Diamentowy Indeks AGH 2017/18. Informatyka Etap III

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Olimpiada O Diamentowy Indeks AGH 2017/18. Informatyka Etap III Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Olimpiada O Diamentowy Indeks AGH 017/18 Informatyka Etap III Zadania po 17 punktów Zadanie 1 Dla pewnej N-cyfrowej liczby naturalnej obliczono

Bardziej szczegółowo

x y x y x y x + y x y

x y x y x y x + y x y Algebra logiki 1 W zbiorze {0, 1} okre±lamy dziaªania dwuargumentowe,, +, oraz dziaªanie jednoargumentowe ( ). Dziaªanie x + y nazywamy dodawaniem modulo 2, a dziaªanie x y nazywamy kresk Sheera. x x 0

Bardziej szczegółowo

Funkcje wymierne. Jerzy Rutkowski. Działania dodawania i mnożenia funkcji wymiernych określa się wzorami: g h + k l g h k.

Funkcje wymierne. Jerzy Rutkowski. Działania dodawania i mnożenia funkcji wymiernych określa się wzorami: g h + k l g h k. Funkcje wymierne Jerzy Rutkowski Teoria Przypomnijmy, że przez R[x] oznaczamy zbiór wszystkich wielomianów zmiennej x i o współczynnikach rzeczywistych Definicja Funkcją wymierną jednej zmiennej nazywamy

Bardziej szczegółowo