b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych.

Wielkość: px
Rozpocząć pokaz od strony:

Download "b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych."

Transkrypt

1 DODATEK: FUNKCJE LOGICZNE CD. 1 FUNKCJE LOGICZNE 1. Tablice Karnaugha Do reprezentacji funkcji boolowskiej n-zmiennych można wykorzystać tablicę prawdy o 2 n wierszach lub np. tablice Karnaugha. Tablica Karnaugha jest kwadratową lub prostokątną siatką zbudowaną z 2 n kratek (dla parzystej liczby argumentów tablica ma kształt kwadratu o wymiarach 2 0.5n 2 0.5n, dla nieparzystej prostokąta o wymiarach (n 1) (n+1) )) a) b a b) 0 1 c) Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych. Do opisania adresu (nr wiersza i kolumny) kratek wykorzystywany jest kod Graya. Adres kratki wyznacza jednoznacznie wartości argumentów funkcji - wartość funkcji (tzn. 0 lub 1) odpowiadającą tym argumentom jest wpisywana we wnętrzu kratki. Na poniższym rysunku w tablicy Karnaugha dla funkcji 3 zmiennych ponumerowane zostały wszystkie kratki i tak np.: wartość funkcji dla argumentów a = 0, b = 0, c = 0 należy wpisać w kratkę ; dla a = 0, b = 1, c = 0 w kratkę a dla a = 1, b = 1, c = 0 w kratkę. 0 1 Rys. 2. Tablice Karnaugha z ponumerowanymi kratkami. Każda kratka tablicy odpowiada jednej kombinacji zmiennych wejściowych (jednemu pełnemu iloczynowi kanoniczej postaci dysjunkcyjnej lub jednej pełnej sumie kanonicznej postaci koniunkcyjnej). Podobnie jak w przypadku tablic prawdy: iloczyn pełny odpowiadający wybranej kratce budowany jest w postaci iloczynu argumentów funkcji (argument jest negowany jeżeli odpowiada sygnałowi o wartości 0), suma pełna odpowiadająca wybranej kratce budowana jest w postaci sumy argumentów funkcji (argument jest negowany jeżeli odpowiada sygnałowi o wartości 1). Wybrane kratki tablicy z rys. 2. odpowiadają następującym iloczynom pełnym: kratka iloczynowi: a, kratka iloczynowi: a, kratka iloczynowi a. Sumy pełne odpowiadające tym kratkom są równe odpowiednio: : a b c, : a b c, : a b c

2 DODATEK: FUNKCJE LOGICZNE CD. 2 Z tablicy Karnaugha podobnie jak z tabeli prawdy można odczytać kanoniczną postać dysjunkcyjną (w postaci sumy iloczynów pełnych tych kratek tablicy dla których wartość funkcji wynosi 1) i kanoniczną postać koniunkcyjną (w postaci iloczynu sum pełnych tych kratek tablicy dla których wartość funkcji wynosi 0). Tablica Karnaugha, dzięki swej budowie, pozwala również na zapis funkcji w uproszczonych postaciach odpowiednio: normalnej postaci dysjunkcyjnej i normalnej postaci koniunkcyjnej. Normalna postać dysjunkcyjna jest sumą iloczynów dowolnej liczby argumentów funkcji z negacjami lub bez. Można powiedzieć, że postać ta powstaje z kanonicznej postaci dysjunkcyjnej w wyniku zastosowania praw sklejania dla wybranych iloczynów pełnych. Normalna postać koniunkcyjna jest iloczynem sum dowolnej liczby argumentów funkcji z negacjami lub bez. Podobnie jak poprzednio, można powiedzieć, że postać ta powstaje z kanonicznej postaci koniunkcyjnej w wyniku zastosowania praw sklejania dla wybranych sum pełnych. Sąsiednie kratki tablicy Karnaugha odpowiadają wyrażeniom sąsiednim logicznie. Dwa wyrażenia boolowskie określone dla tych samych argumentów z negacjami lub bez, są wyrażeniami sąsiednimi logicznie jeśli różnią się postacią jednego argumentu. Dla wyrażenia w postaci iloczynu dwóch sąsiednich logicznie sum czy w postaci sumy dwóch sąsiednich logicznie iloczynów można stosować prawa sklejania, tzn. prawa: a ba b a, a b a b a. Sklejanie pozwala więc na pozbycie się argumentu którym dwa wyrażenia składowe się różnią. Kratki i leżą obok siebie. Iloczyny pełne a i a odpowiadające tym kratkom są sąsiednie logicznie (różnią się jedynie postacią argumentu a). Wyrażenie w postaci sumy takich dwóch iloczynów a a można zapisać w postaci iloczynu. Wyrażenie można odczytać wprost z tablicy Karnaugha odczytując adresy komórek (a = 0, b = 1, c = 0) i (a = 1, b = 1, c = 0). Adresy te różnią się tylko wartością argumentu a więc w wyniku sklejenia obydwu komórek adres ten zniknie. Adres grupy komórek wyznaczają tylko argumenty b i c. Skoro b = 1, c = 0, więc odpowiedni iloczyn (konstruowany w ten sam sposób w jaki konstruowane były iloczyny pełne) odpowiadający tej grupie wyniesie:. Podobnie, sumy pełne odpowiadające kratkom : a b c i a b c są sąsiednie logicznie. Wyrażenie w postaci iloczynu takich dwóch sum można zapisać w postaci sumy b c. Wyrażenie b c można odczytać odczytując adresy komórek (a = 0, b = 1, c = 0) i (a = 1, b = 1, c = 0). Adresy te różnią się tylko wartością argumentu a więc w wyniku sklejenia obydwu komórek adres ten zniknie. Adres grupy komórek wyznaczają tylko argumenty b i c. Skoro b = 1, c = 0, więc odpowiednia suma (konstruowana w ten sam sposób w jaki konstruowane były sumy pełne) odpowiadający tej grupie wyniesie: b c.

3 DODATEK: FUNKCJE LOGICZNE CD. 3 Sklejać można również większe grupy sąsiadujących ze sobą kratek. Wyrażenie w postaci sumy iloczynów pełnych z kratek,,,, tzn.: a a a a, można stosując najpierw prawo sklejania dla pierwszego i drugiego składnika oraz dla trzeciego i czwartego składnika (wyrażenia różnią się tylko postacią argumentu c) zapisać prościej jako: a b a b Obydwa składniki powyższej sumy różnią się tylko postacią argumentu a więc po zastosowaniu prawa sklejania wyrażenie można zapisać jako: Wyrażenie b można odczytać wprost z tablicy Karnaugha odczytując adresy komórek : a = 0, b = 1, c = 1; : a = 0, b = 1, c = 0; : a = 1, b = 1, c = 1; : a = 1, b = 1, c = 0; b. Adresy te różnią się wartościami argumentów a i c więc w wyniku sklejania komórek zostaną wyeliminowane. Adres grupy komórek wyznacza tylko argument b. Skoro b = 1, więc odpowiedni iloczyn (właściwie w tej sytuacji trudno mówić o iloczynie) konstruowany w ten sam sposób w jaki konstruowane były iloczyny pełne, odpowiadający tej grupie wyniesie: b.. Podobnie, sumy pełne odpowiadające kratkom,,, są sąsiednie logicznie. Wyrażenie w postaci iloczynu takich sum można zapisać w postaci: Po zastosowaniu sklejania otrzymuje się kolejno: a b ca b ca b c a b c a ba b b Wyrażenie b można odczytać odczytując adresy komórek,,, (patrz powyżej). Adres grupy komórek wyznacza tylko argument b. Skoro b = 1, więc odpowiednia suma (właściwie w tej sytuacji trudno mówić o sumie) konstruowana w ten sam sposób w jaki konstruowane były sumy pełne, odpowiadająca tej grupie wyniesie: b. Y Kratki,,, sklejane byłyby w postaci sumy iloczynów, jeżeli tablica prawdy odpowiadałaby przedstawionej powyżej funkcji Y1. Zapis tej funkcji w postaci iloczynu sum wymagałby sklejenia kratek,, i. Sklejanie kratek,,, w postaci iloczynu sum odpowiada funkcji Y2 ( Y2 Y1 ). Stąd otrzymane w obydwu przypadkach wyrażenia, dla grupy komórek,,,, tzn. b i b są różne (jedno odpowiada funkcji Y1, drugie funkcji Y2 Y1 ). Y

4 DODATEK: FUNKCJE LOGICZNE CD. 4 Zauważ, że sklejania nie można byłoby przeprowadzić gdyby wyrażenie odpowiadało sumie iloczynów z kratek,,. Po wykonaniu pierwszego sklejania wyrażenie można byłoby zapisać w postaci: a b a Powyższa postać nie pozwala już na zastosowanie prawa sklejania. Skleić można więc grupę dwóch sąsiadujących kratek po jej sklejeniu powstanie wyrażenie z którego zostanie wyeliminowany jeden z argumentów funkcji. Jeżeli obok tej grupy, znajdzie się grupa dwóch kratek, z której po sklejeniu powstanie wyrażenie, z którego zostanie wyeliminowany ten sam argument funkcji to sklejone grupy będzie można skleić jeszcze raz. Skleić można więc grupę zbudowaną z dwóch kratek, zbudowaną z czterech kratek (dwie sąsiadujące grupy dwukratkowe), zbudowaną z ośmiu kratek (dwie sąsiadujące grupy czterokratkowe),... Ogólnie, grupa sklejanych kratek musi się składać z 2 k kratek (k=1, 2,...). Na poniższych rysunkach przedstawione zostały przykładowe grupy kratek, które można skleić. a) b) c) d) e) 0 1 Rys. 3. Tablice Karnaugha funkcji 3 zmiennych z przykładowymi grupami sklejanych kratek, grupy te w zależności od tego czy sklejane są iloczyny czy sumy, odpowiadają wyrażeniom: a) lub a b, b) lub b c, c) c lu, d) a lub a, e) lub a c a b Na rys. 3.e) sklejone zostały kratki i - odpowiadają one sąsiednim logicznie wyrażeniom: lub: a a a c, a b ca b c a c więc faktycznie mogą zostać sklejone tak jak to zostało pokazane na rysunku. Dla tablicy Karnaugha funkcji 3 zmiennych należy wyobrazić sobie, że sklejone są lewy i prawy brzeg tablicy wtedy widać, że kratki i leża obok siebie. Dla tablicy Karnaugha funkcji 4 zmiennych należy wyobrazić sobie dodatkowo, że sklejone są górny i dolny brzeg tablicy. Na następnej stronie pokazane zostały grupy kratek podlegające sklejaniu dla funkcji 4 zmiennych a c

5 DODATEK: FUNKCJE LOGICZNE CD. 5 a) b) c) g) grupy w zależności od tego czy sklejano iloczyny czy sumy, odpowiadają wyrażeniom: a) lub, b) lub, c) lub, d) b lub b, e) lub, f) lub, g) d) e) f) a b d a b c b d lub b d c d b d c d b c b c Rys. 4. Tablice Karnaugha funkcji 4 zmiennych z przykładowymi grupami sklejanych kratek. 2. Minimalizacja funkcji logicznych z wykorzystaniem tablic Karnaugha Omawiana w tym punkcie metoda prowadzi do wyznaczenia minimalnej normalnej postaci dysjunkcyjnej lub minimalnej normalnej postaci koniunkcyjnej. Minimalne postacie dysjunkcyjna i koniunkcyjna są wyrażeniami w postaci sumy iloczynów i iloczynu sum. Wyrażenia te zawierają możliwie najmniejszą liczbę składowych (tzn. minimalną liczbę iloczynów czy sum), przy czym dodatkowo, wyrażenia składowe zawierają najmniejszą możliwą liczbę argumentów. Metoda Karnaugha polega na: wyszukiwaniu i zaznaczeniu wśród niezaznaczonych jeszcze kratek tablicy samodzielnych grup jedynek (zer) obejmujących 2 k kratek (k =, 3, 2, 1, 0) jeżeli po wyodrębnieniu wszystkich samodzielnych grup pozostają jeszcze niezaznaczone jedynki (zera) to należy je połączyć z kratkami zaznaczonymi tak aby zaznaczona w ten sposób grupa reprezentowała iloczyn (sumę) o najmniejszej liczbie argumentów. Dodatkowo grupy jedynek (zer) należy wyodrębniać w taki sposób aby każda para sąsiednich kratek tablicy znajdowała się w tej samej wspólnej grupie takie podejście pozwala na eliminację niekorzystnego zjawiska jakim jest hazard. Jeżeli minimalizowana funkcja jest funkcją niezupełną to podczas sklejania stany nieokreślone (oznaczane w tablicy zwykle symbolami: lub ) można traktować jako 1 lub 0 tak by uzyskiwane grupy były jak największe. Dla określonej funkcji wybrany stan nieokreślony musi być jednak traktowany w ten sam sposób.

6 DODATEK: FUNKCJE LOGICZNE CD. 6 Przykład W poprzednim dodatku przedstawiony został przykład urządzenia sterującego dwiema zwrotnicami Z1 i Z2. Urządzenie kierowało detale do jednego z trzech pojemników biorąc pod uwagę wartości trzech cech (a, b, c) sortowanych detali. Poniżej przypomniana została tabela prawdy urządzenia i zbudowane na jej podstawie tablice Karnaugha dla funkcji Z1 i Z2. a Z1 Z Z Z Minimalną normalną postać dysjunkcyjną można otrzymać sklejając grupy przedstawione na poniższym rysunku. Z Funkcje Z1 i Z2 można na tej podstawie zapisać w postaci: Z a b a c, Z a, 1 (funkcja Z1 została skonstruowana kolejno z grup: czerwonej, zielonej i niebieskiej). 2 Z Minimalną normalną postać koniunkcyjną, wykorzystując sposób sklejania przedstawiony na poniższym rysunku Z Z można natomiast zapisać jako: a cb ca b Z, Z a, 1 2 (funkcje Z1 i Z2 zostały skonstruowana kolejno z grup: czerwonej, zielonej i niebieskiej).

7 DODATEK: FUNKCJE LOGICZNE CD Eliminacja hazardu Hazard zjawisko polegające na tym, że poprawnie logicznie zaprojektowany układ może w pewnych warunkach na skutek niedokładności swoich elementów składowych działać nieprawidłowo. Nieprawidłowa praca układów kombinacyjnych polega na krótkotrwałym pojawieniu się na wyjściu układu błędnego sygnału. Źródła hazardu w układach stykowych zakłada się np. że zmiana stanu przekaźnika powoduje równoczesne przełączenie zestyków zwiernego i rozwiernego w praktyce przełączenie może nie być równoczesne, w układach bezstykowych sygnały wejściowe są przetwarzane równolegle, czas przejścia sygnału dla każdej ze ścieżek może być różny (czasy działania elementów przełączających oraz ich ilość mogą być różne) stąd sygnał na wyjściu nie zawsze musi odpowiadać aktualnym sygnałom wejściowym. Eliminacja hazardu Eliminacja hazardu polega na dołączaniu do układu dodatkowych elementów uniemożliwiających powstanie hazardu są to tzw. grupy antyhazardowe. W tablicy Karnaugha układu w którym występuje hazard znajdują się grupy, które nie są połączone z innymi grupami. Grupy jedynek (zer) należy wyodrębniać w taki sposób aby każda para sąsiednich kratek tablicy znajdowała się w tej samej wspólnej grupie. Przykład Załóżmy, że urządzenie sterujące powinno pracować zgodnie z przedstawioną poniżej tablicą Karnaugha: Z Minimalną normalną postać dysjunkcyjną można otrzymać sklejając grupy przedstawione na poniższym rysunku: Z czyli: Z a c.

8 DODATEK: FUNKCJE LOGICZNE CD. 8 Grupy czerwona i zielona sąsiadują ze sobą a nie są ze sobą połączone, w układzie występuje więc hazard. Efekty tego hazardu (w postaci krótkotrwałego błędnego sygnału na wyjściu) można zobaczyć analizując przepływ sygnałów na schemacie urządzenia. a 1 dla a=1, b=1 i c=1, na wyjściach bloków pojawią się sygnały: górny blok logiczne I : 1 dolny blok logiczne I : 0 blok >=1 logiczne LUB : 1 Blok realizuje logiczną negację dla a=1, b=1 i c=0, na wyjściach bramek pojawią się sygnały: górny blok logiczne I : 0 dolny blok logiczne I : 1 blok >=1 logiczne LUB : 1 Zgodnie z przedstawioną powyżej tablicą Karnaugha układ działa prawidłowo: w obydwu przypadkach na wyjściu układu pojawia się sygnał "1". Układ zbudowany jest z dwóch równoległych ścieżek przetwarzających sygnały a, b i c. Górna ścieżka z pomocą bloku logiczne I wyznacza wartość koniunkcji sygnałów a i c, dolna ścieżka przy pomocy bloku negacji logicznej i bloku logiczne I wyznacza wartość koniunkcji b i c. Czas przejścia sygnału przez dolną ścieżkę ze względu na dodatkowy blok negacji jest dłuższy. Rozważmy co się stanie jeżeli bezpośrednio po sygnałach a=1, b=1 i c=1 na wejściu układu pojawią się sygnały a=1, b=1 i c=0. Po zmianie wartości sygnałów wejściowych na wyjściu górnego bloku logiczne I pojawi się sygnał 0, ale ze względu na to że czas przejścia sygnału w dolnej ścieżce jest dłuższy - na wyjściu dolnego bloku logiczne I będzie jeszcze poprzednia wartość sygnału tzn.: 0. Na wyjściu układu pojawi się więc sygnał 0 zamiast prawidłowego 1. Taki stan nie utrzyma się długo, ponieważ na wyjściu dolnego bloku logiczne I pojawi się właściwy sygnał tzn. 1 i na wyjściu układu pojawi się prawidłowy sygnał 1. Rozważane sygnały a=1, b=1 i c=1 oraz a=1, b=1 i c=0 nie zostały wybrane przypadkowo. W tablicy Karnaugha odpowiadające im kratki sąsiadują ze sobą a zostały przypisane do dwóch różnych grup. Zjawisko hazardu ma miejsce jeżeli sąsiadujące w tablicy Karnaugha jedynki (lub zera) należą do różnych grup. Eliminacja hazardu polega na tworzeniu dodatkowych grup tak aby każda para sąsiadujących jedynek (lub zer) należała do wspólnej grupy. W rozważanym układzie trzeba utworzyć dodatkową grupę (na poniższym rysunku została zaznaczona kolorem niebieskim). Z

9 DODATEK: FUNKCJE LOGICZNE CD. 9 Po wprowadzeniu nowej grupy funkcję można zapisać w minimalnej bezhazardowej postaci: Z a c ab. a 1 Jeżeli bezpośrednio po sygnałach a=1, b=1 i c=1 na wejściu układu pojawią się sygnały a=1, b=1 i c=0 to nowy blok logiczne I (na której wyjściu dla obydwu zestawu sygnałów pojawia się sygnał 1) zapobiegnie pojawieniu się krótkotrwałego, błędnego sygnału 0.

dr inż. Małgorzata Langer Architektura komputerów

dr inż. Małgorzata Langer Architektura komputerów Instrukcja współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie Innowacyjna dydaktyka bez ograniczeń zintegrowany rozwój Politechniki Łódzkiej zarządzanie Uczelnią,

Bardziej szczegółowo

Metoda Karnaugh. B A BC A

Metoda Karnaugh. B A BC A Metoda Karnaugh. Powszechnie uważa się, iż układ o mniejszej liczbie elementów jest tańszy i bardziej niezawodny, a spośród dwóch układów o takiej samej liczbie elementów logicznych lepszy jest ten, który

Bardziej szczegółowo

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 1 Techniki Mikroprocesorowe dr inż. Artur Cichowski ix jy i j {0,1} {0,1} Dla układów kombinacyjnych stan dowolnego wyjścia y i w danej chwili czasu zależy wyłącznie od aktualnej kombinacji stanów

Bardziej szczegółowo

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 12 - synteza i minimalizacja funkcji logicznych Instytut Automatyki i Robotyki Warszawa, 2017 Synteza funkcji logicznych Terminy - na bazie funkcji trójargumenowej y = (x 1, x 2, x 3 ) (1) Elementarny

Bardziej szczegółowo

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Temat lekcji: Minimalizacja funkcji logicznych Etapy lekcji: 1. Podanie tematu i określenie celu lekcji SOSOBY MINIMALIZACJI

Bardziej szczegółowo

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna.

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Architektura komputerów ćwiczenia Zbiór zadań IV Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Wprowadzenie 1 1 fragmenty książki "Organizacja i architektura systemu

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH

3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3. SYNTEZA UKŁADÓW KOMBINACYJNYCH 3.. ZASADY OGÓLNE 3... ZAPIS FUNKCJI Synteza układów przełączających to zespól czynności, które n-i podstawie założeń dotyczących działania układów doprowadza ją do schematu

Bardziej szczegółowo

Minimalizacja form boolowskich

Minimalizacja form boolowskich Sławomir Kulesza Technika cyfrowa Minimalizacja form boolowskich Wykład dla studentów III roku Informatyki Wersja 1.0, 05/10/2010 Minimalizacja form boolowskich Minimalizacja proces przekształcania form

Bardziej szczegółowo

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a i układy logiczne 1 Elementy logiki dla informatyków Wykład III Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Algebra Boole a

Wstęp do Techniki Cyfrowej... Algebra Boole a Wstęp do Techniki Cyfrowej... Algebra Boole a Po co AB? Świetne narzędzie do analitycznego opisu układów logicznych. 1854r. George Boole opisuje swój system dedukcyjny. Ukoronowanie zapoczątkowanych w

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Minimalizacja formuł Boolowskich

Minimalizacja formuł Boolowskich Minimalizacja formuł Boolowskich Stosowanie reguł algebry Boole a w celu minimalizacji funkcji logicznych jest niedogodne brak metody, aby stwierdzić czy dana formuła może być jeszcze minimalizowana czasami

Bardziej szczegółowo

dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle" POKL

dr inż. Rafał Klaus Zajęcia finansowane z projektu Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle POKL Technika cyfrowa w architekturze komputerów materiał do wykładu 2/3 dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia na Politechnice Poznańskiej w zakresie technologii

Bardziej szczegółowo

LABORATORIUM 04, ZESTAW 1 SYNTEZA BEZSTYKOWYCH UKŁADÓW KOMBINACYJNYCH

LABORATORIUM 04, ZESTAW 1 SYNTEZA BEZSTYKOWYCH UKŁADÓW KOMBINACYJNYCH LABORATORIUM 04, ZESTAW 1 SYNTEZA BEZSTYKOWYCH UKŁADÓW KOMBINACYJNYCH Cel zajęć Synteza wybranych układów kombinacyjnych w oparciu o metodę Karnaugha. Materiały do przygotowania Materiały umieszczone na

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

Minimalizacja funkcji boolowskich - wykład 2

Minimalizacja funkcji boolowskich - wykład 2 SWB - Minimalizacja funkcji boolowskich - wykład 2 asz 1 Minimalizacja funkcji boolowskich - wykład 2 Adam Szmigielski aszmigie@pjwstk.edu.pl Laboratorium robotyki s09 SWB - Minimalizacja funkcji boolowskich

Bardziej szczegółowo

W jakim celu to robimy? Tablica Karnaugh. Minimalizacja

W jakim celu to robimy? Tablica Karnaugh. Minimalizacja W jakim celu to robimy? W projektowaniu układów cyfrowych istotne jest aby budować je jak najmniejszym kosztem. To znaczy wykorzystanie dwóch bramek jest tańsze niż konieczność wykorzystania trzech dla

Bardziej szczegółowo

Funkcja Boolowska. f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest matematycznym modelem układu kombinacyjnego.

Funkcja Boolowska. f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest matematycznym modelem układu kombinacyjnego. SWB - Minimalizacja funkcji boolowskich - wykład 2 asz 1 Funkcja Boolowska Funkcja boolowskanargumentową nazywamy odwzorowanie f:b n B, gdzieb={0,1} jest zbiorem wartości funkcji. Funkcja boolowska jest

Bardziej szczegółowo

UKŁADY KOMBINACYJNE (BRAMKI: AND, OR, NAND, NOR, NOT)

UKŁADY KOMBINACYJNE (BRAMKI: AND, OR, NAND, NOR, NOT) LORTORIUM PODSTWY ELEKTRONIKI UKŁDY KOMINCYJNE (RMKI: ND, OR, NND, NOR, NOT) Cel ćwiczenia Zapoznanie się z budową i zasadą działania podstawowych funktorów (bramek) układów kombinacyjnych, jak równieŝ

Bardziej szczegółowo

x x

x x DODTEK II - Inne sposoby realizacji funkcji logicznych W kolejnych podpunktach zaprezentowano sposoby realizacji przykładowej funkcji (tej samej co w instrukcji do ćwiczenia "Synteza układów kombinacyjnych")

Bardziej szczegółowo

xx + x = 1, to y = Jeśli x = 0, to y = 0 Przykładowy układ Funkcja przykładowego układu Metody poszukiwania testów Porównanie tabel prawdy

xx + x = 1, to y = Jeśli x = 0, to y = 0 Przykładowy układ Funkcja przykładowego układu Metody poszukiwania testów Porównanie tabel prawdy Testowanie układów kombinacyjnych Przykładowy układ Wykrywanie błędów: 1. Sklejenie z 0 2. Sklejenie z 1 Testem danego uszkodzenia nazywa się takie wzbudzenie funkcji (wektor wejściowy), które daje błędną

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO

WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO WOJSKOWA AKADEMIA T E CHNI CZNA im. Jarosława Dą brow ski ego ZAKŁAD AWIONIKI I UZBROJENIA LOTNICZEGO Przedmiot: PODSTAWY AUTOMATYKI I AUTOMATYZACJI (studia I stopnia) ĆWICZENIE RACHUNKOWE PROJEKT PROSTEGO

Bardziej szczegółowo

Minimalizacja funkcji boolowskich

Minimalizacja funkcji boolowskich Minimalizacja funkcji boolowskich Zagadnienie intensywnych prac badawczych od początku lat pięćdziesiątych 20 wieku. Ogromny wzrost zainteresowania minimalizacją f.b. powstał ponownie w latach 80. rzyczyna:

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

Podstawy techniki cyfrowej. Układy asynchroniczne Opracował: R.Walkowiak Styczeń 2014

Podstawy techniki cyfrowej. Układy asynchroniczne Opracował: R.Walkowiak Styczeń 2014 Podstawy techniki cyfrowej Układy asynchroniczne Opracował: R.Walkowiak Styczeń 2014 Charakterystyka układów asynchronicznych Brak wejścia: zegarowego, synchronizującego. Natychmiastowa (niesynchronizowana)

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

LABORATORIUM 06, ZESTAW 1 SYNTEZA BEZSTYKOWYCH UKŁADÓW KOMBINACYJNYCH

LABORATORIUM 06, ZESTAW 1 SYNTEZA BEZSTYKOWYCH UKŁADÓW KOMBINACYJNYCH LABORATORIUM 06, ZESTAW 1 SYNTEZA BEZSTYKOWYCH UKŁADÓW KOMBINACYJNYCH Cel zajęć Synteza wybranych układów kombinacyjnych w oparciu o metodę Karnaugha. Materiały do przygotowania Materiały umieszczone na

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej i Mikroelektroniki

Wstęp do Techniki Cyfrowej i Mikroelektroniki Wstęp do Techniki Cyfrowej i Mikroelektroniki dr inż. Maciej Piotrowicz Katedra Mikroelektroniki i Technik Informatycznych PŁ piotrowi@dmcs.p.lodz.pl http://fiona.dmcs.pl/~piotrowi -> Wstęp do... Układy

Bardziej szczegółowo

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji.

Algebra Boole a. Ćwiczenie Sprawdź, czy algebra zbiorów jestrównież algebrą Boole a. Padaj wszystkie elementy takiej realizacji. Algebra Boole a Algebrą Boole a nazywamy zbiór B, wyróżnione jego podzbiory O i I oraz operacje dwuargumentowe +;, które dla dowolnych elementów X, Y, Z zbioru B spełniają następujące aksjomaty: X+Y B;

Bardziej szczegółowo

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład)

Układy logiczne. Wstęp doinformatyki. Funkcje boolowskie (1854) Funkcje boolowskie. Operacje logiczne. Funkcja boolowska (przykład) Wstęp doinformatyki Układy logiczne komputerów kombinacyjne sekwencyjne Układy logiczne Układy kombinacyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 synchroniczne asynchroniczne Wstęp

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

1. SYNTEZA UKŁADÓW SEKWENCYJNYCH

1. SYNTEZA UKŁADÓW SEKWENCYJNYCH DODATEK: SEKWENCJNE UKŁAD ASNCHRONICZNE CD.. SNTEZA UKŁADÓW SEKWENCJNCH Synteza to proces prowadzący od założeń definiujących sposób działania układu do jego projektu. odczas syntezy należy kolejno ustalić:

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów Podstawy Automatyki i Automatyzacji - Ćwiczenia Laboratoryjne mgr inż.

Bardziej szczegółowo

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212 KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki ów Cyfrowych ćwiczenie Temat: Automat asynchroniczny. Cel ćwiczenia Celem ćwiczenia jest nabycie praktycznej umiejętności projektowania

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Cyfrowe bramki logiczne 2012

Cyfrowe bramki logiczne 2012 LORTORIUM ELEKTRONIKI yfrowe bramki logiczne 2012 ndrzej Malinowski 1. yfrowe bramki logiczne 3 1.1 el ćwiczenia 3 1.2 Elementy algebry oole`a 3 1.3 Sposoby zapisu funkcji logicznych 4 1.4 Minimalizacja

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

Układy asynchroniczne

Układy asynchroniczne Układy asynchroniczne Model układu asynchronicznego y x n UK y m układ kombinacyjny q k BP q k blok pamięci realizuje opóźnienia adeusz P x x t s tan stabilny s: δ(s,x) = s automacie asynchronicznym wszystkie

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i rootyzacja procesów produkcyjnych materiały: www.uz.zgora.pl/~ipajak Układy cyfrowe f.1/1 Plan wykładu Układy logiczne: kominacyjne i sekwencyjne modele: algera Boole a, automaty skończone,

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Modelowanie kombinacyjnych układów przełączających z wykorzystaniem elementów pneumatycznych i elektrycznych Podstawy Automatyki i Automatyzacji

Bardziej szczegółowo

Układy asynchroniczne

Układy asynchroniczne Układy asynchroniczne Model układu sekwencyjnego Model układu asynchronicznego (synchronicznego) y 1 x n UK y m układ kombinacyjny Z clock t 1 q 1 k B x s tan stabilny s: δ(s,x) = s x blok pamięci jest

Bardziej szczegółowo

Ćwiczenie 26. Temat: Układ z bramkami NAND i bramki AOI..

Ćwiczenie 26. Temat: Układ z bramkami NAND i bramki AOI.. Temat: Układ z bramkami NAND i bramki AOI.. Ćwiczenie 26 Cel ćwiczenia Zapoznanie się ze sposobami konstruowania z bramek NAND różnych bramek logicznych. Konstruowanie bramek NOT, AND i OR z bramek NAND.

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Układy kombinacyjne

Wstęp do Techniki Cyfrowej... Układy kombinacyjne Wstęp do Techniki Cyfrowej... Układy kombinacyjne Przypomnienie Stan wejść układu kombinacyjnego jednoznacznie określa stan wyjść. Poszczególne wyjścia określane są przez funkcje boolowskie zmiennych wejściowych.

Bardziej szczegółowo

Metoda eliminacji Gaussa

Metoda eliminacji Gaussa Metoda eliminacji Gaussa Rysunek 3. Rysunek 4. Rozpoczynamy od pierwszego wiersza macierzy opisującej nasz układ równań (patrz Rys.3). Zakładając, że element a 11 jest niezerowy (jeśli jest, to niezbędny

Bardziej szczegółowo

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Podstawy Automatyki Człowiek- najlepsza inwestycja Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Politechnika Warszawska Instytut Automatyki i Robotyki Dr inż.

Bardziej szczegółowo

Algebra Boole a i jej zastosowania

Algebra Boole a i jej zastosowania lgebra oole a i jej zastosowania Wprowadzenie Niech dany będzie zbiór dwuelementowy, którego elementy oznaczymy symbolami 0 oraz 1, tj. {0, 1}. W zbiorze tym określamy działania sumy :, iloczynu : _ oraz

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

; B = Wykonaj poniższe obliczenia: Mnożenia, transpozycje etc wykonuję programem i przepisuję wyniki. Mam nadzieję, że umiesz mnożyć macierze...

; B = Wykonaj poniższe obliczenia: Mnożenia, transpozycje etc wykonuję programem i przepisuję wyniki. Mam nadzieję, że umiesz mnożyć macierze... Tekst na niebiesko jest komentarzem lub treścią zadania. Zadanie. Dane są macierze: A D 0 ; E 0 0 0 ; B 0 5 ; C Wykonaj poniższe obliczenia: 0 4 5 Mnożenia, transpozycje etc wykonuję programem i przepisuję

Bardziej szczegółowo

Ćwiczenie 2. Algebra Boolea, przykłady równań logicznych. A. TWIERDZENIA ALGEBRY BOOLE A WPROWADZENIE DO TEORII.

Ćwiczenie 2. Algebra Boolea, przykłady równań logicznych. A. TWIERDZENIA ALGEBRY BOOLE A WPROWADZENIE DO TEORII. Ćwiczenie 2 lgebra Boolea, przykłady równań logicznych. WPROWDZENIE DO TEORII.. TWIERDZENI LGEBRY BOOLE 2 3 a + B = B + b B = B a + B + C = + (B + C) = ( + B) + C b B C = (B C) = ( B) C a (B + C) = B +

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 4 BADANIE BRAMEK LOGICZNYCH A. Cel ćwiczenia. - Poznanie zasad logiki binarnej. Prawa algebry Boole

Bardziej szczegółowo

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2015 Kody liczb całkowitych nieujemnych Kody liczbowe dzielimy na analityczne nieanalityczne (symboliczne)

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Laboratorium podstaw elektroniki

Laboratorium podstaw elektroniki 150875 Grzegorz Graczyk numer indeksu imie i nazwisko 150889 Anna Janicka numer indeksu imie i nazwisko Grupa: 2 Grupa: 5 kierunek Informatyka semestr 2 rok akademicki 2008/09 Laboratorium podstaw elektroniki

Bardziej szczegółowo

Errata do książki Multisim. Technika cyfrowa w przykładach.

Errata do książki Multisim. Technika cyfrowa w przykładach. . 3. 24 r. rrata do książki Multisim. Technika cyfrowa w przykładach.. str.5, źle jest zapisana postać funkcji wyjściowej równoważność (xclusive NOR, XNOR, NOR, XNOR), y 7 = a b + a b = a Ä b = a Å b 2.

Bardziej szczegółowo

Laboratorium elektroniki i miernictwa

Laboratorium elektroniki i miernictwa Numer indeksu 0 Michał Moroz Imię i nazwisko Numer indeksu 0 Paweł Tarasiuk Imię i nazwisko kierunek: Informatyka semestr grupa II rok akademicki: 00/00 Laboratorium elektroniki i miernictwa Ćwiczenie

Bardziej szczegółowo

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych

funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych 98 3. Synteza układów kombimtcyjnych funkcja, opisana tablicami rys. 3-8a,b, bez uwzględnienia pozycji nieokreślonych ma postać y = a po ich uwzględnieniu y = oo ot 1-0 1 0 y S ODO ooi on oio w tu 101

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium.

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Zagadnienia do samodzielnego opracowania: rola sygnału taktującego (zegara) w układach synchronicznych; co robi sygnał CLEAR (w

Bardziej szczegółowo

Minimalizacja funkcji boolowskich

Minimalizacja funkcji boolowskich Minimalizacja funkcji boolowskich Zagadnienie intensywnych prac badawczych od początku lat pięćdziesiątych 2 wieku. Ogromny wzrost zainteresowania minimalizacją f.b. powstał ponownie w latach 8. rzyczyna:

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia

ćwiczenie 202 Temat: Układy kombinacyjne 1. Cel ćwiczenia Opracował: dr inż. Jarosław Mierzwa KTER INFORMTKI TEHNIZNEJ Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 202 Temat: Układy kombinacyjne 1. el ćwiczenia Ćwiczenie ma na celu praktyczne zapoznanie

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

1.2 Funktory z otwartym kolektorem (O.C)

1.2 Funktory z otwartym kolektorem (O.C) Wydział EAIiIB Laboratorium Katedra Metrologii i Elektroniki Podstaw Elektroniki Cyfrowej Wykonał zespół w składzie (nazwiska i imiona): Ćw. 4. Funktory TTL cz.2 Data wykonania: Grupa (godz.): Dzień tygodnia:

Bardziej szczegółowo

15. Macierze. Definicja Macierzy. Definicja Delty Kroneckera. Definicja Macierzy Kwadratowej. Definicja Macierzy Jednostkowej

15. Macierze. Definicja Macierzy. Definicja Delty Kroneckera. Definicja Macierzy Kwadratowej. Definicja Macierzy Jednostkowej 15. Macierze Definicja Macierzy. Dla danego ciała F i dla danych m, n IN funkcję A : {1,...,m} {1,...,n} F nazywamy macierzą m n ( macierzą o m wierszach i n kolumnach) o wyrazach z F. Wartość A(i, j)

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Matematyka dyskretna. Andrzej Łachwa, UJ, /10

Matematyka dyskretna. Andrzej Łachwa, UJ, /10 Matematyka dyskretna Andrzej Łachwa, UJ, 2018 andrzej.lachwa@uj.edu.pl 10/10 Podziały i liczby Stirlinga Liczba Stirlinga dla cykli (często nazywana liczbą Stirlinga pierwszego rodzaju) to liczba permutacji

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW INSTYTUT YERNETYKI TEHNIZNEJ POLITEHNIKI WROŁWSKIEJ ZKŁD SZTUZNEJ INTELIGENJI I UTOMTÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 22 temat: UKŁDY KOMINYJNE. EL ĆWIZENI Ćwiczenie ma na

Bardziej szczegółowo

Sumy kwadratów kolejnych liczb naturalnych

Sumy kwadratów kolejnych liczb naturalnych Sumy kwadratów kolejnych liczb naturalnych Andrzej Nowicki 24 maja 2015, wersja kk-17 Niech m < n będą danymi liczbami naturalnymi. Interesować nas będzie równanie ( ) y 2 + (y + 1) 2 + + (y + m 1) 2 =

Bardziej szczegółowo

Systemy wbudowane. Wprowadzenie. Nazwa. Oznaczenia. Zygmunt Kubiak. Sterowniki PLC - Wprowadzenie do programowania (1)

Systemy wbudowane. Wprowadzenie. Nazwa. Oznaczenia. Zygmunt Kubiak. Sterowniki PLC - Wprowadzenie do programowania (1) ybrane funkcje logiczne prowadzenie L L2 Y Nazwa Oznaczenia Y Sterowniki PLC - prowadzenie do programowania () Proste przykłady Załączenie jednego z dwóch (lub obu) przełączników lub powoduje zapalenie

Bardziej szczegółowo

Minimalizacja form boolowskich UC1, 2009

Minimalizacja form boolowskich UC1, 2009 Minimalizacja form boolowskich UC, 29 mplikanty funkcji boolowskiej UC, 29 2 mplikanty funkcji boolowskiej UC, 29 3 Metody minimalizacji UC, 29 4 Siatki Karnaugh UC, 29 5 Siatki Karnaugh UC, 29 Stosowanie

Bardziej szczegółowo

Bukiety matematyczne dla gimnazjum

Bukiety matematyczne dla gimnazjum Bukiety matematyczne dla gimnazjum http://www.mat.uni.torun.pl/~kolka/ 1 X 2002 Bukiet I Dany jest prostokąt o bokach wymiernych a, b, którego obwód O i pole P są całkowite. 1. Sprawdź, że zachodzi równość

Bardziej szczegółowo

Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia

Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia Poznanie zasad budowy działania komparatorów cyfrowych. Konstruowanie komparatorów

Bardziej szczegółowo

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia:

Układy kombinacyjne i sekwencyjne. Podczas ćwiczenia poruszane będą następujące zagadnienia: Warszawa 207 Cel ćwiczenia rachunkowego Podczas ćwiczenia poruszane będą następujące zagadnienia: modelowanie i synteza kombinacyjnych układów przełączających; minimalizacja funkcji przełączającej; projektowanie

Bardziej szczegółowo

Wstęp. Przygotowanie teoretyczne

Wstęp. Przygotowanie teoretyczne Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LORATORIUM Teoria Automatów Temat ćwiczenia: Hazardy L.p. Imię i nazwisko Grupa ćwiczeniowa: Poniedziałek 8.00 Ocena Podpis 1. 2. 3. 4. Krzysztof

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1 Plan wykładu 1. Wprowadzenie, funkcje boolowskie i bramki logiczne, 2. Minimalizacja funkcji boolowskich, 3. Kombinacyjne bloki

Bardziej szczegółowo

Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia

Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia Zapoznanie się z techniką połączenia za pośrednictwem interfejsu. Zbudowanie

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

3. FUNKCJA LINIOWA. gdzie ; ół,.

3. FUNKCJA LINIOWA. gdzie ; ół,. 1 WYKŁAD 3 3. FUNKCJA LINIOWA FUNKCJĄ LINIOWĄ nazywamy funkcję typu : dla, gdzie ; ół,. Załóżmy na początek, że wyraz wolny. Wtedy mamy do czynienia z funkcją typu :.. Wykresem tej funkcji jest prosta

Bardziej szczegółowo

UKŁADY KOMBINACYJNE WPROWADZENIE. przerzutniki, bramki ze sprzężeniami zwrotnymi. Układ przełączający Y t. Q t stan wewnętrzny

UKŁADY KOMBINACYJNE WPROWADZENIE. przerzutniki, bramki ze sprzężeniami zwrotnymi. Układ przełączający Y t. Q t stan wewnętrzny UKŁADY KOMBINACYJNE PRz 2013 Wprowadzenie. Zadanie przykładowe I. Metoda Karnaugha. Schemat sprzętowy. Program w C. Program w ST. Program w LD. Program ST w środowisku TwinCAT PLC Control. Program LD PLC

Bardziej szczegółowo

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia Poznanie zasad działania układów koderów. Budowanie koderów z podstawowych bramek logicznych i układu scalonego Czytanie schematów elektronicznych,

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Złożoność obliczeniowa zadania, zestaw 2

Złożoność obliczeniowa zadania, zestaw 2 Złożoność obliczeniowa zadania, zestaw 2 Określanie złożoności obliczeniowej algorytmów, obliczanie pesymistycznej i oczekiwanej złożoności obliczeniowej 1. Dana jest tablica jednowymiarowa A o rozmiarze

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

POLITECHNIKA POZNAŃSKA

POLITECHNIKA POZNAŃSKA POLITECHNIKA POZNAŃSKA INSTYTUT ELEKTROTECHNIKI I ELEKTRONIKI PRZEMYSŁOWEJ Zakład Elektrotechniki Teoretycznej i Stosowanej Laboratorium Podstaw Telekomunikacji Ćwiczenie nr 5 Rok akademicki: Wydział:

Bardziej szczegółowo

Bramki TTL i CMOS 7400, 74S00, 74HC00, 74HCT00, 7403, 74132

Bramki TTL i CMOS 7400, 74S00, 74HC00, 74HCT00, 7403, 74132 Skład zespołu: 1. 2. 3. 4. KTEDR ELEKTRONIKI G Wydział EIiE LBORTORIUM TECNIKI CYFROWEJ Data wykonania: Suma punktów: Grupa Ocena 1 Bramki TTL i CMOS 7400, 74S00, 74C00, 74CT00, 7403, 74132 I. Konspekt

Bardziej szczegółowo