TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

Wielkość: px
Rozpocząć pokaz od strony:

Download "TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH"

Transkrypt

1 Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów projektowania takich przerzutników i określenie ich podstawowych parametrów. Podstawy teoretyczne W układach cyfrowych przerzutniki są wykorzystywane jako elementy zapamiętujące informację. Przerzutnik bistabilny jest układem cyfrowym, który może się znajdować w jednym z dwóch stanów (logicznym 0 lub 1 ). Ogólna budowa przerzutnika została przedstawiona na rys. 1, gdzie przyjęto następujące oznaczenia: A X 1 f 1 EZ X n C... US f 2 A Rys. 1. Budowa ogólna przerzutnika US - układ sterujący (układ kombinacyjny); EZ - element zapamiętujący informację (element pamięci); X 1,..., X n - wejścia informacyjne; C - wejście zegarowe; f 1 i f 2 - funkcje wzbudzenia elementu EZ; i - wyjścia przerzutnika (proste i zanegowane). Przykładowe realizacje elementu pamięci EZ na bramkach typu 2NAND i 2NOR przedstawiono odpowiednio na rys. 2a i rys. 2b. a) b) f1 f1 f2 f2 Rys. 2. Przykładowa realizacja elementu pamięci: na bramkach NAND (a) i NOR (b) Przerzutniki klasyfikuje się biorąc pod uwagę sposób funkcjonowania bądź sposób zapisu informacji. Klasyfikacja uwzględniająca sposób funkcjonowania przerzutników określa stany w jakich znajdują się wejścia i wyjścia przerzutnika w dwóch kolejnych taktach zegarowych t s i t s+1. Na przykład, jeśli przerzutnik posiada jedno wejście informacyjne (możliwe stany tego wejścia to x=0 lub x=1, a na wyjściu dopuszcza się pięć stanów: 0, 1,,, oraz * (stan nieokreślony), to teoretycznie można określić 25 różnych typów przerzutników (tj. różnych sposobów

2 funkcjonowania). W ogólnym przypadku, jeśli przerzutnik posiada n wejść informacyjnych, to ogólna liczba typów wynosi 5 do potęgi 2 n. W praktyce wykorzystuje się stosunkowo mała liczba typów przerzutników: RS, D, DV, JK, T, E, R i S. Sposób funkcjonowania przerzutnika może być zadany za pomocą tabeli pracy (przełączeń). Z tabeli przełączeń przerzutnika RS (tab. 1) wynika, że przerzutnik nie zmienia swojego stanu w takcie t s+1 ( s+1 = s ), jeśli w takcie t s na wejścia R i S był podany niski poziom logiczny, tj. R s =S s =0. Przy kombinacji sygnałów wejściowych (w takcie t s ) R s =0 i S s =1 na wyjściu przerzutnika (w takcie t s+1 ) będzie się znajdował wysoki poziom logiczny s+1 =1, a przy kombinacji R s =1 i S s =0 poziom niski s+1 =0. Jeśli R s =S s =1, to stan przerzutnika nie będzie określony (*), a taka kombinacja sygnałów wejściowych dla przerzutnika RS jest zabroniona. Tab. 1. Tabela pracy przerzutnika RS Tab. 2. Tabela pracy przerzutnika R t s t s+1 t s t s+1 R s S s s+1 R s S s s s 0 0 s * Przerzutnik typu R różni się od przerzutnika typu RS tym, że kombinacja sygnałów wejściowych R s =S s =1 powoduje ustalenie niskiego poziomu logicznego na wyjściu przerzutnika s+1 =0 (co jes reprezentowane w tab. 2). Przerzutnik S (tab. 3) w tym przypadku działa odwrotnie ustala wysoki poziom logiczny s+1 =1, a przerzutnik E (tab. 4) nie zmienia swojego stanu ( s+1 = s ). Tab. 3. Tabela pracy przerzutnika S Tab. 4. Tabela pracy przerzutnika E t s t s+1 t s t s+1 R s S s s+1 R s S s s s 0 0 s s Przerzutnik typu D nazywany jest niekiedy przerzutnikiem opóźniającym (jego tabelę pracy reprezentuje tab. 5). Może być on tylko przerzutnikiem synchronicznym. Przerzutnik DV różni się od przerzutnika D tym, że posiada dodatkowe wejście V. Jeśli V=1, to przerzutnik DV funkcjonuje tak samo jak przerzutnik D, natomiast jeśli V=0, to stan w jakim znajdował się przerzutnik będzie zachowany niezależnie od stanu wejścia informacyjnego D. Przerzutnik T jest nazywany przerzutnikiem zliczającym (patrz tab. 6). Zlicza on wysokie poziomy logiczne jedynki, które są podawane w kolejnych taktach na wejście T i sumuje je modulo 2. Jak wynika z tabeli przełączeń przerzutnika JK (tab. 7), przy kombinacjach sygnałów wejściowych J=K=0, J=0 i K=1, J=1 i K=0 pracuje on analogicznie, jak przerzutnik RS. Natomiast przy kombinacji J=K=1 zmienia swój stan, w którym się znajdował, na przeciwny. Klasyfikacja przerzutników ze względu na sposób zapisu informacji odzwierciedla proces przełączania się tych przerzutników. Zgodnie z tą klasyfikacją przerzutniki dzielą się na asynchroniczne i synchroniczne. Asynchroniczne przerzutniki przełączają się zgodnie z informacją pojawiającą się na wejściach informacyjnych. Wśród przerzutników synchronicznych najczęściej wykorzystuje się przerzutniki posiadające jedno wejście taktujące. Tylko tego typu przerzutniki synchroniczne będą dalej rozpatrywane.

3 Tab. 5. Tabela pracy przerzutnika D T s t s+1 C s D s s s 0 1 s Tab. 6. Tabela pracy przerzutnika T Tab. 7. Tabela pracy przerzutnika JK t s t s+1 t s t s+1 T s+1 J s K s s+1 0 s 0 0 s 1 s Synchroniczne przerzutniki dzielą się na sterowane poziomem sygnału zegarowego (tzw. zatrzaski) oraz zboczem (narastającym lub opadającym) sygnału zegarowego to są tzw. przerzutniki z wewnętrznym opóźnieniem. Synchroniczne przerzutniki pierwszego typu (zatrzaski) przełączają się w czasie istnienia aktywnego poziomu sygnału na wejściu zegarowym (taktującym) C. Mogą się one wielokrotnie przełączać się (tyle razy, ile na to pozwalają zmiany sygnałów na wejściach informacyjnych) dopóki poziom na wejściu C będzie aktywny. Zatrzaski typu RS z prostymi i zanegowanymi wejściami R i S przedstawiono odpowiednio na rys. 2b (gdzie R=f1, S=f2) i rys. 2a (gdzie S=f1 i R=f2). Synchroniczne przerzutniki drugiego typu (sterowane zboczem) charakteryzują się tym, że poziomy logiczne na wyjściach tych przerzutników są ustanawiane w momencie zmiany poziomu logicznego sygnału taktującego. Jeśli przerzutnik zmienia swój stan przy zmianie poziomu sygnału taktującego z 0 do 1, to mówimy o przerzutniku przełączanym zboczem narastającym sygnału taktującego, a jeśli z 1 do 0 to zboczem (frontem) opadającym. Projektowanie przerzutników polega na wyborze typu elementu EZ zgodnie z rys. 2 i syntezie układu kombinacyjnego US (patrz rys. 1), którego zadaniem jest realizacja wartości funkcji wzbudzeń f 1 i f 2 wykorzystywanych w elemencie EZ. Jeśli w kolumnie s+1 tabeli przełączeń s przerzutnika znajdują się wartości (patrz tab. 6 lub tab. 7), to sygnały na wyjściach i przerzutnika są argumentami funkcji f 1 i f 2. Dla prawidłowego działania takiego przerzutnika należy w punktach A (patrz rys. 1) układu umieścić elementy opóźniające propagację sygnału (tj. wprowadzić tzw. opóźnienie wewnętrzne). Analogiczna sytuacja powstanie w tym przypadku, kiedy argumentami funkcji f 1 i f 2 będą sygnały z wyjść i innych przerzutników, przełączających się równocześnie z danym przerzutnikiem. Taki przypadek ma miejsce w rejestrach przesuwających informację, licznikach, itd. Elementy opóźniające (włączone w punktach A) są budowane wyłącznie z elementów logicznych. Pozwala to nie wprowadzać żadnych ograniczeń na czas trwania wejściowych sygnałów informacyjnych. Istnieją dwie podstawowe struktury przerzutników z wewnętrznym opóźnieniem: struktura przerzutnika Master-Slave (MS) lub struktura trzech przerzutników (3T). Struktura przerzutnika MS (rys. 3) składa się z dwóch elementów EZ: podstawowego (przerzutnika M Master) i pomocniczego (przerzutnika S Slave). Zapis informacji do przerzutnika M taktowany jest sygnałem C, a do przerzutnika S sygnałem F. Informacja z przerzutnika M kopiowana jest do przerzutnika S poprzez układ pomocniczy W. s

4 X 1 f 1 EZ EZ X n C... US f 2 M W S F Rys. 3. Struktura przerzutnika typu Master-Slave Najczęściej wykorzystują się przerzutniki MS z inwersją w układzie synchronizacji, bądź z połączeniami zabraniającymi przełączenie. Struktury takich przerzutników zbudowane na bramkach NAND są przedstawione odpowiednio na rys. 4 i rys. 5. Należy zaznaczyć, że podobne struktury mogą być zbudowane na bramkach NOR. Rys. 4. Przerzutnik MS z inwerterem w układzie synchronizacji Rys. 5. Przerzutnik MS z połączeniami zabraniającymi przełączenie Struktura przerzutnika z wewnętrznym opóźnieniem na elementach NAND zgodnie ze schematem trzech przerzutników została przedstawiona na rys. 6. (podobną strukturę posiada przerzutnik zbudowany na funktorach NOR). W danym przerzutniku poziom logiczny na wyjściu jest ustanawiany zboczem narastającym sygnału taktującego. Przy C=0 przerzutnik podstawowy, realizowany na bramkach 1 i 2 nie zmienia swojego stanu, a elementy 3 i 6 pełnią funkcję inwertera.

5 Rys. 6. Przerzutnik zbudowany w oparciu o strukturę trzech przerzutników Rozpatrzmy teraz przypadek f 1 =0 i f 2 =1. Przy C=1 na wyjściu elementu 4 pojawi się niski poziom logiczny, który ustawi przerzutnik zbudowany na elementach 1 i 2 w stan 1 oraz potwierdza wysoki sygnał logiczny na wyjściu elementu 3. W tym momencie sygnały f 1 i f 2 mogą zmieniać swoje wartości. Wartości f 1 i f 2 w trakcie zmiany sygnału C z 0 do 1, będą określały stan przerzutnika podstawowego (bramki 1 i 2). Przed syntezą US należy sprecyzować, przy jakich wartościach funkcji wzbudzeń f 1 i f 2 element zapamiętujący EZ będzie zachowywał swój dotychczasowy stan, a przy jakich wartościach będzie przechodził z jednego stanu do drugiego. Syntezę US przeprowadza się wykorzystując tabelę przełączeń, na podstawie której tworzona jest pełna tabela przełączeń. Z pełnej tabeli przełączeń określane są funkcje f 1 i f 2, które należy zminimalizować i zrealizować na zadanych elementach logicznych (bramkach). Dla ilustracji rozpatrzymy proces projektowania przerzutnika JK na elementach NAND. W tab. 7 opisującej przełączenia przerzutnika JK, w kolumnie s+1 s znajduje się wartość. Z tego powodu przerzutnik powinien być zbudowany z wewnętrznym opóźnieniem. Wśród możliwych struktur przerzutników tego typu (rys. 4, 5, 6) wybieramy jedną z nich, np. przedstawioną na rys. 4. Przy zmianie sygnału C z 1 do 0 przerzutnik S, zbudowany na elementach 1 i 2, będzie się przełączał zgodnie z graficzną postacią tabeli przełączeń przedstawioną na poniższym rys. 7. Na tym rysunku znakiem * przedstawiono dowolne wartości funkcji f 1 i f 2. f 1 = 1; f 2 = 0 0 f 1 = 0; f 2 = f 1 = 1; f 2 = f 1 = ; f 2 = Rys. 7a. Graficzna postać tabeli przełączeń elementu pamięci EZ zbudowanego w oparciu o bramki NAND

6 f 1 = *; f 2 = f 1 = 0; f 2 = f 1 = 1; f 2 = f 1 = 0; f 2 = * 1 1 Rys. 7b. Graficzna postać tabeli przełączeń elementu pamięci EZ zbudowanego w oparciu o bramki NOR W oparciu o tabele pracy (tab. 7) przerzutnika JK tworzona jest pełna tabela jego przełączeń, wraz z funkcjami wzbudzeń (patrz tab. 8). Wartości f 1 i f 2 w tab. 8 są podstawiane zgodnie z graficzną postacią tabeli przełączeń EZ zbudowanego z dwóch bramek NAND (rys. 7a). Posługując się tym rysunkiem należy analizować zmiany stanu przerzutnika ( s s+1 ) w każdym wierszu tabeli 11. Następnie, wykorzystując diagramy Veitch a (rys. 8), określane są MNPS obydwu funkcji f 1 i f 2 (indeksy s można pominąć): f = C + + J, 1 f = C + + K, 2 Ostatecznie funkcje f 1 i f 2 są przekształcane w sposób wygodny do realizacji na bramkach NAND: f = C + + J = C J, 1 f = C + + K = C K. 2 Tab. 8. Pełna tabela przełączeń przerzutnika JK f 1 f 2 C t s t s+1 J s K s s s * * * * * * * * * * * *

7 J s J s C s 0 1 * 1 C s 1 * 1 * K s * K s 1 * * 1 * 1 1 * 1 * * 1 * 1 1 * s f 1 f 2 Rys. 8. Diagramy Veitch a dla funkcji wzbudzenia f 1 i f 2 przerzutnika JK Przerzutniki synchroniczne typu D, T i JK często mają dodatkowe wejścia asynchroniczne (tzw. wejścia przygotowawcze) służące np. do początkowego ustawienia przerzutnika w stan 0 (wejście R) bądź w stan 1 (wejście S). Budowa przerzutnika JK z wejściami asynchronicznymi R i S przedstawiono na rys. 9. Sygnały podawane na wejścia przygotowujące przerzutnika posiadają wyższy priorytet, niż pozostałe wejścia, tj. odpowiednio przełączają przerzutnik niezależnie od sygnałów podawanych na pozostałe wejścia. Oznaczenia wejść przygotowujących zapisuje się za oznaczeniem wejść logicznych przerzutnika. Przerzutnik typu T z wejściami asynchronicznymi R i S oznacza się symbolami TRS. Na rys. 10 przedstawiono graficznie oznaczenia przerzutników DRS, JKRS i TRS. Jeśli aktywnym poziomem wejścia jest poziom niski, to takie wejście oznaczane jest symbolem ο. Wejście posiadające linię ukośną wskazuje jakim zboczem (opadającym bądź narastającym) przełączany jest przerzutnik. Przerzutnik zbudowany zgodnie ze strukturą przerzutnika MS oznacza się literami TT (pozostałe przerzutniki tylko jedną literą T). s Rys. 9. Przerzutnik JK o strukturze MS z inwerterem w układzie synchronizacji i wejściami asynchronicznymi RS, zbudowany z bramek NAND S S S T TT T D J T K C C C R R R Rys. 10. Graficzne oznaczenia przerzutników typu DRS, JKRS i TRS

8 Kolejność wykonania ćwiczenia 1. Wykorzystując bramki NOR zbudować przerzutniki synchroniczne sterowane poziomem sygnału zegarowego (zatraski) zgodnie z tabelami przełączeń (patrz tab. 2 5). Dla każdego zbudowanego przerzutnika określić czas przełączania się, a także maksymalna częstotliwość sygnału taktującego. Czas propagacji sygnału przez każdy element NAND przyjąć równym r. 2. W oparciu o schemat trzech przerzutników, wykorzystując bramki NOR lub NAND, zbudować przerzutnik typu D. Określić czas przełączenia przerzutnika oraz maksymalną częstotliwość sygnału taktującego. 3. W oparciu o bramki NAND, zbudować przerzutnik Master-Slave działający zgodnie z tabelą przełączeń tab. 8 (zgodnie z numerem zadania otrzymanego od prowadzącego). Dla każdego schematu określić zbocze (narastające lub opadające), sygnału taktującego, które przełącza przerzutnik; określić czas przełączenia przerzutnika oraz maksymalną częstotliwość sygnału taktującego. 4. Zbudować w programie Symulus kilka modeli opracowanych przerzutników (wskazanych przez prowadzącego zajęcia). 5. Zweryfikować prace modeli w różnych trybach. Pytania kontrolne 1. Czym różnią się przerzutniki synchroniczne od asynchronicznych? 2. Czym różnią się przerzutniki synchroniczne sterowane poziomem sygnału taktującego od synchronicznych z wewnętrznym opóźnieniem? W jakich przypadkach wykorzystuje się podane typy przerzutników? 3. Wyjaśnij zasadę pracy przerzutników synchronicznych wykonanych zgodnie ze schematem MS i trzech przerzutników. 4. Wskaż różnice pomiędzy synchronicznymi i asynchronicznymi wejściami przerzutnika synchronicznego. 5. Przedstaw etapy projektowania przerzutników. Zbuduj przerzutnik zgodnie z zadaną tabelą przełączeń. Tab. 8. Tabela wariantów zadania dot. projektowania przerzutników synchronicznych Nr zadania Stan wejść X1 i X2 przerzutnika Nr zadania Stan wejść X1 i X2 przerzutnika

9 6. Porównać pod względem szybkości działania przerzutniki wykonane zgodnie ze schematami MS i trzech przerzutników. 7. W jakich przypadkach w przerzutnikach możliwe jest pojawienie się stanów niestabilnych? Jakie są przyczyny pojawienia się tych stanów oraz w jaki sposób można je wyeliminować? 8. Jak buduje się przerzutnik typu T w oparciu o przerzutniki typu D, JK i RS? 9. Jakie zmiany należy wprowadzić w strukturę przerzutnika z wewnętrznym opóźnieniem, aby zmienić zbocze (z opadającego na narastające i odwrotnie) sygnału taktującego, zgodnie z którym przełącza się przerzutnik? 10. Czy można w układzie cyfrowym zamienić synchroniczny przerzutnik RS na synchroniczny przerzutnik JK (ewentualnie na przerzutniki E,R,S), nie naruszając poprawności działania całego urządzenia?

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Proste układy sekwencyjne

Proste układy sekwencyjne Proste układy sekwencyjne Układy sekwencyjne to takie w których niektóre wejścia są sterowany przez wyjściaukładu( zawierają sprzężenie zwrotne ). Układy sekwencyjne muszą zawierać elementy pamiętające

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 6 BADANIE UKŁADÓW SEKWENCYJNYCH A. Cel ćwiczenia. - Poznanie przeznaczenia i zasady działania przerzutnika

Bardziej szczegółowo

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel Ćwiczenie 6 Przerzutniki bistabilne (Flip-Flop) Cel Poznanie zasady działania i charakterystycznych właściwości różnych typów przerzutników bistabilnych. Wstęp teoretyczny. Przerzutniki Flip-flop (FF),

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych.

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI MIKROPROCESOROWEJ 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów asynchronicznych

Sławomir Kulesza. Projektowanie automatów asynchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów asynchronicznych Wykład dla studentów III roku Informatyki Wersja 3.0, 03/01/2013 Automaty skończone Automat skończony (Finite State Machine FSM)

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające 2 Cyfrowe układy sekwencyjne Cel ćwiczenia LABORATORIUM ELEKTRONIKI Celem ćwiczenia jest zapoznanie się z cyfrowymi elementami pamiętającymi, budową i zasada działania podstawowych przerzutników oraz liczników

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212 KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki ów Cyfrowych ćwiczenie Temat: Automat asynchroniczny. Cel ćwiczenia Celem ćwiczenia jest nabycie praktycznej umiejętności projektowania

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1. Ćwiczenie 8 Liczniki zliczające, kody BCD, 8421, 2421 Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i 2421. Wstęp teoretyczny. Przerzutniki

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

LABORATORIUM PODSTAW ELEKTRONIKI. Komputerowa symulacja układów różniczkujących

LABORATORIUM PODSTAW ELEKTRONIKI. Komputerowa symulacja układów różniczkujących ZESPÓŁ LABORATORIÓW TELEMATYKI TRANSPORTU ZAKŁAD TELEKOMUNIKACJI W TRANSPORCIE WYDZIAŁ TRANSPORTU POLITECHNIKI WARSZAWSKIEJ LABORATORIUM PODSTAW ELEKTRONIKI INSTRUKCJA DO ĆWICZENIA NR 23 Komputerowa symulacja

Bardziej szczegółowo

Układy kombinacyjne - przypomnienie

Układy kombinacyjne - przypomnienie SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy kombinacyjne - przypomnienie W układzie kombinacyjnym wyjście zależy tylko od wejść, SWB - Układy sekwencyjne - wiadomości podstawowe

Bardziej szczegółowo

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne Ćwiczenie nr 4: Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia Opracował: mgr inż. Antoni terna ATEDA INFOMATYI TEHNIZNE Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 203 Temat: Układy sekwencyjne 1. el ćwiczenia elem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo

PODSTAWY TEORII UKŁADÓW CYFROWYCH

PODSTAWY TEORII UKŁADÓW CYFROWYCH PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY KODUJĄCE Kodery Kodery Kodery służą do przedstawienia informacji z tylko jednego aktywnego wejścia na postać binarną. Ponieważ istnieje fizyczna możliwość jednoczesnej

Bardziej szczegółowo

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 204

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 204 Opracował: prof. dr hab. inż. Jan Kazimierczak KATEDA INFOMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 204 Temat: Hardware'owa implementacja automatu skończonego pełniącego

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1 Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LABORATORIUM Teoria Automatów. Grupa ćwiczeniowa: Poniedziałek 8.

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LABORATORIUM Teoria Automatów. Grupa ćwiczeniowa: Poniedziałek 8. Akademia Górniczo-Hutnicza im. isława Staszica w Krakowie LABORATORIUM Teoria Automatów Temat ćwiczenia Przerzutniki L.p. Imię i nazwisko Grupa ćwiczeniowa: Poniedziałek 8.000 Ocena Podpis 1. 2. 3. 4.

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW e-version: dr inż. Tomasz apłon INTYTUT YBENETYI TEHNIZNE PLITEHNII WŁAWIE ZAŁA ZTUZNE INTELIGENI I AUTMATÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 23 temat: UŁAY EWENYNE. EL ĆWIZENIA

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1.

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1. Przerzutniki Układy sekwencyjne przerzutniki 2/18 Pojęcie przerzutnika Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1... x n ), 1-bitową pamięć oraz 1 wyjście

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI

LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI LABORATORIUM PODSTAWY ELETRONII PRZERZUTNII el ćwiczenia Zapoznanie się z budową i zasada działania przerzutników synchronicznych jak i asynchronicznych. Poznanie przerzutników asynchronicznych odniesione

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 2

Statyczne badanie przerzutników - ćwiczenie 2 Statyczne badanie przerzutników - ćwiczenie 2. Cel wiczenia Zapoznanie si z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NOR. 2. Wykaz

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM. AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI ELWIS Rev.1.0 1. Wprowadzenie Celem

Bardziej szczegółowo

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych.

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych. 2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych. W przypadku funkcji niezupełnej wektory spoza dziedziny funkcji wykorzystujemy w procesie sklejania, ale nie uwzględniamy ich w tablicy

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

Ćw. 8 Bramki logiczne

Ćw. 8 Bramki logiczne Ćw. 8 Bramki logiczne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi, poznanie ich rodzajów oraz najwaŝniejszych parametrów opisujących ich własności elektryczne.

Bardziej szczegółowo

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium.

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Zagadnienia do samodzielnego opracowania: rola sygnału taktującego (zegara) w układach synchronicznych; co robi sygnał CLEAR (w

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Projektowanie i badanie liczników synchronicznych i asynchronicznych

Projektowanie i badanie liczników synchronicznych i asynchronicznych Laboratorium Podstaw Techniki Cyfrowej dr Marek Siłuszyk mgr Arkadiusz Wysokiński Ćwiczenie 08 PTC Projektowanie i badanie liczników synchronicznych i asynchronicznych opr. tech. Mirosław Maś Uniwersytet

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Logiczne układy bistabilne przerzutniki.

Logiczne układy bistabilne przerzutniki. Przerzutniki spełniają rolę elementów pamięciowych: -przy pewnej kombinacji stanów na pewnych wejściach, niezależnie od stanów innych wejść, stany wyjściowe oraz nie ulegają zmianie; -przy innej określonej

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające

Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające Technika Cyfrowa 1 wykład 11: liczniki sekwencyjne układy przełączające Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Liczniki klasyfikacja Licznik asynchroniczny:

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Synteza strukturalna automatu Moore'a i Mealy

Synteza strukturalna automatu Moore'a i Mealy Synteza strukturalna automatu Moore'a i Mealy (wersja robocza - w razie zauważenia błędów proszę o uwagi na mail'a) Załóżmy, że mamy następujący graf automatu z 2 y 0 q 0 z 1 z 1 z 0 z 0 y 1 z 2 q 2 z

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe. Instrukcja do zajęć laboratoryjnych. Część: Technika Cyfrowa Liczba zajęć: 3 + zaliczające

Elektronika i techniki mikroprocesorowe. Instrukcja do zajęć laboratoryjnych. Część: Technika Cyfrowa Liczba zajęć: 3 + zaliczające Przygotowali: J. Michalak, M. Zygmanowski, M. Jeleń Elektronika i techniki mikroprocesorowe Instrukcja do zajęć laboratoryjnych Część: Technika Cyfrowa Liczba zajęć: 3 + zaliczające Celem zajęć jest zapoznanie

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Układy cyfrowe - bramki logiczne i przerzutniki

Podstawy Elektroniki dla Elektrotechniki. Układy cyfrowe - bramki logiczne i przerzutniki AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Układy cyfrowe - bramki logiczne i przerzutniki Ćwiczenie 6a, 6b Instrukcja do ćwiczeń symulacyjnych (6a) Instrukcja do ćwiczeń sprzętowych

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Teoria automatów

Podstawy Techniki Cyfrowej Teoria automatów Podstawy Techniki Cyfrowej Teoria automatów Uwaga Niniejsza prezentacja stanowi uzupełnienie materiału wykładowego i zawiera jedynie wybrane wiadomości teoretyczne dotyczące metod syntezy układów asynchronicznych.

Bardziej szczegółowo

Errata do książki Multisim. Technika cyfrowa w przykładach.

Errata do książki Multisim. Technika cyfrowa w przykładach. . 3. 24 r. rrata do książki Multisim. Technika cyfrowa w przykładach.. str.5, źle jest zapisana postać funkcji wyjściowej równoważność (xclusive NOR, XNOR, NOR, XNOR), y 7 = a b + a b = a Ä b = a Å b 2.

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami funktorów logicznych realizowanymi w technice RTL (Resistor Transistor Logic) oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami funktorów logicznych realizowanymi w technice RTL (Resistor Transistor Logic) oraz zasadą ich działania. adanie funktorów logicznych RTL - Ćwiczenie. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami funktorów logicznych realizowanymi w technice RTL (Resistor Transistor Logic) oraz zasadą ich działania..

Bardziej szczegółowo

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO Ćwiczenie 11 BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO 11.1 Cel ćwiczenia Celem ćwiczenia jest poznanie rodzajów, budowy i właściwości przerzutników astabilnych, monostabilnych oraz

Bardziej szczegółowo

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Temat lekcji: Minimalizacja funkcji logicznych Etapy lekcji: 1. Podanie tematu i określenie celu lekcji SOSOBY MINIMALIZACJI

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie Opracował: dr hab. inż. Jan Magott KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 207 Temat: Automaty Moore'a i Mealy 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Układy sekwencyjne - wiadomości podstawowe - wykład 4

Układy sekwencyjne - wiadomości podstawowe - wykład 4 SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy sekwencyjne - wiadomości podstawowe - wykład 4 Adam Szmigielski aszmigie@pjwstk.edu.pl Laboratorium robotyki s09 SWB - Układy sekwencyjne

Bardziej szczegółowo

2. PRZERZUTNIKI I REJESTRY

2. PRZERZUTNIKI I REJESTRY Technika cyfrowa i mikroprocesorowa w ćwiczeniach laboratoryjnych : praca zbiorowa / pod redakcją Jerzego Jakubca ; autorzy Ryszard Bogacz, Jerzy Roj, Janusz Tokarski. Wyd. 3. Gliwice, 2016 Spis treści

Bardziej szczegółowo

Układy logiczne sekwencyjne

Układy logiczne sekwencyjne Opracował: G. Wasilewski 26.XI.2007 Katedra Automatyki i Biomechaniki P.Ł. LABORATORIUM PODSTAW AUTOMATYKI Ćwiczenie G: Układy logiczne sekwencyjne Cel ćwiczenia: Zapoznanie się z zasadą działania przerzutników

Bardziej szczegółowo