Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD

Wielkość: px
Rozpocząć pokaz od strony:

Download "Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD"

Transkrypt

1 BIULETYN WAT VOL. LVIII, NR 3, 29 Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD DARIUSZ KANIA 1, WALDEMAR GRABIEC 1 Politechnika Śląska, Wydział Automatyki, Elektroniki i Informatyki, Instytut Elektroniki, 44-0 Gliwice, ul. Akademicka 16 Wojskowa Akademia Techniczna, Wydział Elektroniki, Instytut Telekomunikacji, -908 Warszawa, ul. S. Kaliskiego 2 Streszczenie. W artykule przedstawiono koncepcję syntezy logicznej przeznaczonej dla matrycowych struktur CPLD. Rdzeniem układów CPLD jest blok logiczny typu zawierający element XOR. Celem pracy jest zaprezentowanie metody syntezy, która umożliwia realizację zespołu funkcji za pomocą bloków logicznych typu zawierających określoną liczbę iloczynów i bramkę logiczną XOR. Słowa kluczowe: synteza logiczna, dekompozycja, odwzorowanie technologiczne Symbole UKD: Wprowadzenie Większość oferowanych obecnie struktur CPLD zawiera elementy architektury charakterystyczne dla układów (Programmable Array Logic). Są to bloki logiczne typu (-block) zawierające pewną liczbę k bramek AND (najczęściej k = 4 8) dołączonych na stałe do wejść bramki OR. Oprócz tego w strukturach tych znajdują się zazwyczaj inne dodatkowe elementy w tym również bramki XOR (rys. 1) [1]. Podstawowym problemem związanym z syntezą logiczną dla układów CPLD typu jest efektywne wykorzystanie dostępnych zasobów iloczynowych. Głównym elementem klasycznej metody syntezy dedykowanej strukturom CPLD jest dwupoziomowa minimalizacja, która w przypadku zespołu funkcji wykonywana

2 380 D. Kania, W. Grabiec k-and ( k = 3) Rys. 1. Struktura bloku logicznego typu zawierającego bramkę XOR jest dla każdej funkcji oddzielnie. Okazuje się jednak, że możliwe jest również zastosowanie dekompozycji, używanej do wspierania procesu syntezy układów realizowanych w strukturach FPGA. Dekompozycja w przypadku tych układów polega na podziale projektu na części o zadanej liczbie wejść i wyjść, odpowiadające strukturze konfigurowalnych bloków logicznych CLB (Configurable Logic Block). W artykule zaprezentowano pomysł dekompozycji zespołu funkcji, umożliwiający wykorzystanie elementu XOR wchodzącego w skład bloków logicznych struktur CPLD. W opisanej koncepcji zastosowano elementy dekompozycji kolumnowej, stanowiącej rozszerzenie klasycznego modelu dekompozycji Curtisa [2, 3, 4]. Niniejszy artykuł jest kontynuacją pracy [1], w której przedstawiono pomysł wykorzystania elementu XOR w syntezie logicznej dla pojedynczych funkcji. 2. Istota dekompozycji kolumnowej dla zespołu funkcji W syntezie logicznej przeznaczonej dla układów FPGA, ze względu na specyfikę ich budowy, dekompozycja odgrywa bardzo istotną rolę. Badania prowadzone nad dekompozycją funkcjonalną są w kręgu zainteresowań zarówno ośrodków uniwersyteckich, jak i firm opracowujących systemy do projektowania układów cyfrowych [5]. Wyniki wielu eksperymentów przedstawionych między innymi w [2] pokazują, że korzystne jest prowadzenie dekompozycji dla zespołu funkcji. n m Funkcja logiczna f : B B podlega dekompozycji Fg [ 1( X1), g2( X 1),..., g ( p X ), ] 1 X2 wtedy i tylko wtedy, gdy złożoność kolumnowa matrycy podziałów (siatki Karnaugha) spełnia zależność ν(x 2 X 1 ) 2 p. Pomiędzy zbiorem X 1 (zbiór związany) i zbiorem X 2 (zbiór wolny) zachodzą następujące relacje: X 1 X 2 = {i n,..., i 2, i 1 } oraz X 1 X 2 = ϕ [2, 3, 4]. Niech Y = {y m,, y 2, y 1 } będzie zbiorem m-funkcji y i = f i (i n,, i 2, i 1 ). Przykład 1: Poszukiwana jest realizacji funkcji testowej (benchmark) rd53.pla opisanej w formacie Espresso [6]. Układ testowy rd53 generuje trzy funkcje ( f : B B ) f1, f2, f3. Poszukajmy dwóch realizacji układu testowego rd53 z użyciem bloków 5 3 logicznych

3 Synteza logiczna układu realizującego zespół funkcji przełączających zawierających tylko trzy lub tylko cztery iloczyny (k = 3 lub 4). Siatkę Karnaugha dla rozpatrywanego zespołu funkcji przedstawiono na rysunku 2. cde ab f1, f2, f3 Rys. 2. Siatka Karnaugha funkcji testowej rd53 W wyniku minimalizacji każdej funkcji oddzielnie programem Espresso (wywołanie z linii poleceń: Espresso-Dso), uzyskano następujące wartości parametrów (liczba iloczynów dla poszczególnych funkcji wyjściowych Δ fi, i = 1, 2, 3): Δ f1 = 5, Δ f2 = 16, Δ f3 =. 5 3 Realizując rozpatrywaną funkcję f : B B metodą klasyczną należałoby użyć 15 bloków logicznych trzyiloczynowych (k = 3), uzyskując strukturę trzywarstwową. Rozwiązanie to przedstawiono na rysunku 3. Rys. 3. Klasyczna realizacja funkcji testowej rd53

4 382 D. Kania, W. Grabiec W przypadku użycia bloków czteroiloczynowych (k = 4), uzyskuje się lepsze (w sensie zajmowanej powierzchni i szybkości) rozwiązanie z użyciem bloków logicznych, w strukturze dwuwarstwowej. Aparat matematyczny związany z określeniem podstawowych parametrów (liczba bloków logicznych oraz liczba warstw logicznych) dla metody klasycznej zawarto w [1, 2]. Okazuje się, że istnieją znacznie efektywniejsze metody syntezy od metody klasycznej [2]. Jedną z nich, najefektywniejszą pod względem powierzchni, jest metoda z zastosowaniem dekompozycji, ukierunkowana na struktury CPLD typu tzw. dekompozycja kolumnowa. Opiera się ona na funkcjonalnej dekompozycji Curtisa [4, 5]. Istota dekompozycji kolumnowej sprowadza się do poszukiwania odpowiedniego podziału zbioru argumentów zespołu funkcji, dla którego liczba wzorców kolumn (złożoność kolumnowa) matrycy podziałów zapewnia podział analizowanego układu na blok związany i wolny o porównywalnej złożoności, określanej jako iloczyn liczby wejść i wyjść. Wyczerpujący opis dekompozycji kolumnowej zawarto w monografii [2]. Przykład 2: Poszukajmy realizacji układu rd53 na blokach typu zawierających trzyiloczyny (k = 3) za pomocą metody opartej na dekompozycji kolumnowej. W wyniku minimalizacji (Espresso-Dso) uzyskano wartości wyróżników Δ f1 = 5, Δ f2 = 16, Δ f3 =, określające liczby implikantów potrzebnych do realizacji poszczególnych funkcji. Korzyści stosowania dekompozycji będą występowały dla funkcji f i, gdy spełniony jest warunek Δ fi > 2k. W przeciwnym przypadku (Δ fi < 2k) funkcja realizowana jest metodą klasyczną. Dla rozpatrywanego układu rd53 taka sytuacja występuje dla funkcji f 1 (Δ f1 < 2 3), stąd poszukiwania odpowiedniej dekompozycji kolumnowej ograniczają się do zespołu dwóch pozostałych funkcji f 2 i f 3. Złożoność kolumnową wyznacza się wykorzystując algorytm kolorowania wzorców kolumn ukierunkowany na struktury typu [1, 2]. Dla rozpatrywanego układu testowego rd53 wybrany zostaje podział argumentów na dwa podzbiory X 1 = {c, d, e}; X 2 = {a, b}, dla którego odpowiednie kodowanie wzorców kolumn [2] prowadzi do rozwiązania przedstawionego na rysunku 4b. Na rysunku 4a przedstawiono realizację klasyczną funkcji f 1 rozpatrywanego zespołu funkcji (dwa trzyiloczynowe bloki logiczne w strukturze dwuwarstwowej). Widać więc, że otrzymane rozwiązanie jest znacznie efektywniejsze pod względem liczby użytych bloków logicznych od rozwiązania uzyskiwanego metodą klasyczną. W sumie wykorzystano tylko 9 bloków, uzyskując strukturą trzywarstwową, nie gorszą pod względem liczby warstw logicznych od przedstawionego wcześniej rozwiązania klasycznego. Podobne oszczędności układowe występują dla większych bloków zawierających cztery iloczyny (k = 4). Uzyskujemy wtedy rozwiązanie z 7 blokami logicznymi typu również w strukturze trzywarstwowej.

5 Synteza logiczna układu realizującego zespół funkcji przełączających Rys. 4. Wynik dekompozycji kolumnowej funkcji testowej rd53 3. Dekompozycja zespołu funkcji ukierunkowana na użycie elementów XOR z bloków logicznych Opracowany model dekompozycji kolumnowej można rozszerzyć poszukując rozwiązań z użyciem powszechnie dostępnych w strukturach CPLD elementów XOR. Złożoność kolumnowa siatki Karnaugha zespołu funkcji f 2, f 3 (rys. 5a) wynosi 4 (kolumny: A, B, C, D). Analizując siatkę Karnaugha przedstawioną na rysunku 4a, można zauważyć, iż po negacji funkcji f 2 dla wzorca A (tzn. ) uzyskujemy identyczną kolumnę (w sensie kombinacji zerojedynkowej), jak kolumny skojarzone ze wzorcem C. Analogicznie, po negacji funkcji f 2 dla wzorca D (tzn. ) otrzymamy wzorzec B. Na rysunku 5b przedstawiono siatkę Karnaugha zmodyfikowaną w stosunku do siatki pierwotnej zgodnie z powyższymi spostrzeżeniami. Nową funkcję f 2 otrzymaną po wykonaniu opisanych wyżej negacji oznaczono jako f 2. a) b) cde cde ab ab A B C B C D C B f2, f3 C B C B C B C B f 2, f3 Rys. 5. Siatka Karnaugha zespołu funkcji (f 2, f 3 ) przed (a) oraz po (b) modyfikacji

6 384 D. Kania, W. Grabiec W efekcie powyższych zmian siatka Karnaugha z rysunku 5b ma tylko dwa wzorce kolumn (B i C), stąd w myśl twierdzenia Curtisa [4] blok związany może mieć tylko jedno wyjście (p = 1). W sytuacji, gdy nie ma potrzeby ekspansji liczby iloczynów, istnieje możliwość realizacji funkcji g(x 1 ) tylko z jednym blokiem logicznym zawierającym 3 iloczyny. Zachodzi jednak potrzeba negacji funkcji f 2 dla dwóch kombinacji wektorów związanych c, d, e = {0, 1}. Zwykle w blokach logicznych struktur CPLD występuje tylko jedna linia iloczynowa dołączona do elementu XOR (rys. 1). Nie istnieje więc możliwość bezpośredniej negacji funkcji f 2 dla przedstawionych powyżej dwóch kombinacji wektorów związanych. Zachodzi więc potrzeba użycia dodatkowego bloku typu wytwarzającego na wyjściu sygnał odpowiedzialny za negację funkcji f 2. Blok ten (oznaczmy go literą n) powinien realizować funkcję n(c, d, e) = cde+c d e. W tej sytuacji funkcję f 2 można zapisać za pomocą wyrażenia f 2 = f 2 n, przy czym funkcja f 2 jest opisana siatką Karnaugha z rysunku 5b. Tego typu przekształcenie pozwala na ograniczenie liczby wyjść bloku związanego wynikające z redukcji liczby wzorców kolumn. Redukcja ta jest efektem negacji odpowiednich wzorców, możliwej do realizacji, wykorzystując bramki XOR zawarte w blokach logicznych struktury CPLD. Rys. 6. Etapy dekompozycji zespołu funkcji ukierunkowanej na użycie elementów XOR

7 Synteza logiczna układu realizującego zespół funkcji przełączających Poszczególne etapy dekompozycji zespołu funkcji ukierunkowanej na użycie elementów XOR przedstawiono na rysunku 6. W końcowym efekcie, wykorzystując bramki XOR do realizacji zespołu funkcji f :B 5 B 3, zużyto 6 bloków logicznych typu zawierających 3 iloczyny wraz z bramką XOR (rys. 7). Dwóch bloków logicznych użyto do realizacji funkcji f 1 metodą klasyczną (rys. 7a). Uzyskane rozwiązanie stanowi strukturę dwuwarstwową, a więc jest lepsze pod względem szybkości działania od rozwiązań przedstawionych wcześniej. Linią przerywaną oznaczono niewykorzystane iloczyny w bloku logicznym. bcde acde abde a) b) c d e de abce f 1 abcd c d e cde BLOK ZWI ZANY g( X 1 ) n PIA n ag ( X 1 ) a b b g( X 1 ) g( X 1 ) b a ba BLOK WOLNY f 2 f 3 a b PIA Programmable Interconnect Array Rys. 7. Implementacja układu rd53 z wykorzystaniem bloków logicznych typu z bramką XOR 4. Wyniki eksperymentów Jak wynika z przykładu przedstawionego w artykule, użycie występującej w strukturach CPLD bramki XOR prowadzi do najoszczędniejszego rozwiązania. Należy stwierdzić, że w najnowszych komercyjnych systemach projektowych są używane bramki XOR zawarte w strukturach programowalnych, co pozwala uzyskać rozwiązanie lepsze od rozwiązań klasycznych (dwupoziomowa minimalizacja). Dalekie są one jednak od rozwiązań uzyskiwanych metodami opartymi na elementach dekompozycji. Szereg wyników różnorodnych eksperymentów można znaleźć w [2]. Zestawienie wyników dla analizowanego przykładu zespołu funkcji syntetyzowanego różnymi metodami zamieszczono w tabeli 1. W przypadku komercyjnego systemu syntezy firmy ALTERA przedstawiono rozwiązanie dla układów rodziny MAX 50, w których bloki logiczne zawierają tylko 3 bramki AND i bramkę XOR. W wierszu oznaczonym ALTERA zawarto wyniki optymalizacji pod kątem liczby bloków (area) oraz liczby warstw logicznych (speed).

8 386 D. Kania, W. Grabiec Wyniki eksperymentów dla funkcji testowej rd53 Tabela 1 Metoda Bloki/warstwy (k = 3) tylko 3 AND Bloki/warstwy (k = 4) tylko 4 AND Bloki/warstwy 3 AND + XOR Klasyczna 15/3 /2 Oparta na dekompozycji kolumnowej 9/3 7/3 ALTERA (area) 15/3 /3 ALTERA (speed) 15/3 /3 Dekompozycja + XOR 6/2 5. Podsumowanie W artykule przedstawiono wyniki prac związanych z koncepcją rozszerzenia modelu dekompozycji kolumnowej w syntezie logicznej. Ich celem jest opracowanie efektywnych modeli dekompozycji pozwalających na wykorzystanie w procesie syntezy bramek XOR stanowiących element bloków logicznych. Istota prac sprowadza się do opracowania algorytmów dekompozycji dopasowanej do architektury układów CPLD. Wyniki wstępnych eksperymentów są zachęcające, gdyż potwierdzają możliwość znaczącej poprawy efektywności znanych metod syntezy dedykowanych dla struktur CPLD typu. Aby je potwierdzić, należy skoncentrować się na opracowaniu prototypowych narzędzi programowych, pozwalających na przeprowadzenie pełniejszych badań eksperymentalnych. Część algorytmów można bezpośrednio zaadaptować z programu Dec [2]. Konieczne jest jednak zmodyfikowanie sposobu poszukiwania dopełnień wzorców kolumn dla zespołu funkcji, przez odpowiednie użycie grafu niezgodności i dopełnień [2]. Artykuł wpłynął do redakcji r. Zweryfikowaną wersję po recenzji otrzymano w marcu 29 r. LITERATURA [1] D. Kania, W. Grabiec, Synteza logiczna dla struktur CPLD typu wykorzystująca elementy XOR, Biul. WAT, 3, 27. [2] D. Kania, Synteza logiczna przeznaczona dla matrycowych struktur logicznych typu, Zeszyty Naukowe Politechniki Śląskiej, Gliwice, 24.

9 Synteza logiczna układu realizującego zespół funkcji przełączających [3] R. L. Ashenhurst, The decomposition of switching functions, Proceedings of an International Symposium on the Theory of Switching, April [4] H. A. Curtis, The Design of switching Circuits, D. van Nostrand Company Inc., Princeton, New Jersey, Toronto, New York, [5] T. Łuba, Synteza układów logicznych, Oficyna Wydawnicza Politechniki Warszawskiej, Warszawa, 25. [6] Collaborative Benchmarking Laboratory, Department of Computer Science at North Carolina State University, D. KANIA, W. GRABIEC Logic Synthesis of a multi-output switching function for CPLD s based on utilization of XOR gates Abstract. This paper presents logic synthesis for CPLD s. The core of CPLD s is a -based structure with XOR gates. The aim of the work is to present the synthesis method enabling implementation of the multi-output Boolean function by the means of the -based logic blocks containing a definite number of terms and XOR gates. Keywords: logic synthesis, decomposition, technology mapping Universal Decimal Classification:

10

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR BIULETYN WAT VOL. LVI, NR 3, 2007 Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR DARIUSZ KANIA*, WALDEMAR GRABIEC *Politechnika Śląska, Wydział Automatyki, Elektroniki i Informatyki,

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych

Technika cyfrowa Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1 y 1

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

Technika cyfrowa Synteza układów kombinacyjnych (I)

Technika cyfrowa Synteza układów kombinacyjnych (I) Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych (I) Wykład dla studentów III roku Informatyki Wersja 2.0, 05/10/2011 Podział układów logicznych Opis funkcjonalny układów logicznych x 1

Bardziej szczegółowo

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych

Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a i układy logiczne 1 Elementy logiki dla informatyków Wykład III Elementy logiki. Algebra Boole a. Analiza i synteza układów logicznych Elementy logiki: Algebra Boole a

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ.

Koszt literału (literal cost) jest określony liczbą wystąpień literału w wyrażeniu boolowskim realizowanym przez układ. Elementy cyfrowe i układy logiczne Wykład Legenda Kryterium kosztu realizacji Minimalizacja i optymalizacja Optymalizacja układów dwupoziomowych Tablica (mapa) Karnaugh a Metoda Quine a-mccluskey a Złożoność

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Synteza układów kombinacyjnych

Synteza układów kombinacyjnych Sławomir Kulesza Technika cyfrowa Synteza układów kombinacyjnych Wykład dla studentów III roku Informatyki Wersja 4.0, 23/10/2014 Bramki logiczne Bramki logiczne to podstawowe elementy logiczne realizujące

Bardziej szczegółowo

Algebra Boole a i jej zastosowania

Algebra Boole a i jej zastosowania lgebra oole a i jej zastosowania Wprowadzenie Niech dany będzie zbiór dwuelementowy, którego elementy oznaczymy symbolami 0 oraz 1, tj. {0, 1}. W zbiorze tym określamy działania sumy :, iloczynu : _ oraz

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

x x

x x DODTEK II - Inne sposoby realizacji funkcji logicznych W kolejnych podpunktach zaprezentowano sposoby realizacji przykładowej funkcji (tej samej co w instrukcji do ćwiczenia "Synteza układów kombinacyjnych")

Bardziej szczegółowo

2. SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH NA BAZIE DEMULTIFLEK3ERÓW

2. SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH NA BAZIE DEMULTIFLEK3ERÓW ZESZYTY NAUKOWE POLITECHNIKI ŁĘSKIEJ 1975 Serias Automatyka z. 34 Nr kol. 456 Ferdynand Wagner Liarla n Budka Instytut Automatyki Przemysłowej i Pomiarów SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH HA BAZIE

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów

Wstęp do Techniki Cyfrowej... Teoria automatów Wstęp do Techniki Cyfrowej... Teoria automatów Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych. Zwiększenie stopnia

Bardziej szczegółowo

dr inż. Małgorzata Langer Architektura komputerów

dr inż. Małgorzata Langer Architektura komputerów Instrukcja współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie Innowacyjna dydaktyka bez ograniczeń zintegrowany rozwój Politechniki Łódzkiej zarządzanie Uczelnią,

Bardziej szczegółowo

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości: Treść wykładów: Automatyka dr inż. Szymon Surma szymon.surma@polsl.pl pok. 202, tel. +48 32 603 4136 1. Podstawy automatyki 1. Wstęp, 2. Różnice między sygnałem analogowym a cyfrowym, 3. Podstawowe elementy

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Algebra Boole a

Wstęp do Techniki Cyfrowej... Algebra Boole a Wstęp do Techniki Cyfrowej... Algebra Boole a Po co AB? Świetne narzędzie do analitycznego opisu układów logicznych. 1854r. George Boole opisuje swój system dedukcyjny. Ukoronowanie zapoczątkowanych w

Bardziej szczegółowo

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Arkadiusz Bukowiec 1 Radosław Gąsiorek 2 Agnieszka Węgrzyn 3 Prezentowany referat przedstawia ogólną koncepcję

Bardziej szczegółowo

Minimalizacja form boolowskich UC1, 2009

Minimalizacja form boolowskich UC1, 2009 Minimalizacja form boolowskich UC, 29 mplikanty funkcji boolowskiej UC, 29 2 mplikanty funkcji boolowskiej UC, 29 3 Metody minimalizacji UC, 29 4 Siatki Karnaugh UC, 29 5 Siatki Karnaugh UC, 29 Stosowanie

Bardziej szczegółowo

PRACA MINIMALNA ZIĘBNICZEGO OBIEGU LEWOBIEŻNEGO

PRACA MINIMALNA ZIĘBNICZEGO OBIEGU LEWOBIEŻNEGO Dariusz Nanowski Akademia Morska w Gdyni PRACA MINIMALNA ZIĘBNICZEGO OBIEGU LEWOBIEŻNEGO W artykule odniesiono się do dostępnej literatury i zawarto własne analizy związane z określaniem pracy minimalnej

Bardziej szczegółowo

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Ogromną rolę w technice cyfrowej spełniają układy programowalne, często określane nazwą programowalnych modułów logicznych lub krótko hasłem FPLD

Bardziej szczegółowo

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych.

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych. DODATEK: FUNKCJE LOGICZNE CD. 1 FUNKCJE LOGICZNE 1. Tablice Karnaugha Do reprezentacji funkcji boolowskiej n-zmiennych można wykorzystać tablicę prawdy o 2 n wierszach lub np. tablice Karnaugha. Tablica

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie

1. Synteza automatów Moore a i Mealy realizujących zadane przekształcenie 2. Transformacja automatu Moore a w automat Mealy i odwrotnie Opracował: dr hab. inż. Jan Magott KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 207 Temat: Automaty Moore'a i Mealy 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Laboratorium podstaw elektroniki

Laboratorium podstaw elektroniki 150875 Grzegorz Graczyk numer indeksu imie i nazwisko 150889 Anna Janicka numer indeksu imie i nazwisko Grupa: 2 Grupa: 5 kierunek Informatyka semestr 2 rok akademicki 2008/09 Laboratorium podstaw elektroniki

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład Legenda Optymalizacja wielopoziomowa Inne typy bramek logicznych System funkcjonalnie pełny Optymalizacja układów wielopoziomowych Układy wielopoziomowe układy

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Minimalizacja form boolowskich

Minimalizacja form boolowskich Sławomir Kulesza Technika cyfrowa Minimalizacja form boolowskich Wykład dla studentów III roku Informatyki Wersja 1.0, 05/10/2010 Minimalizacja form boolowskich Minimalizacja proces przekształcania form

Bardziej szczegółowo

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002.

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Książkę: T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Można zakupić po najniższej cenie w księgarni Wyższej Szkoły Informatyki Stosowanej i Zarządzania ul. Newelska 6 pok.

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

Wykład nr 1 Techniki Mikroprocesorowe. dr inż. Artur Cichowski Wykład nr 1 Techniki Mikroprocesorowe dr inż. Artur Cichowski ix jy i j {0,1} {0,1} Dla układów kombinacyjnych stan dowolnego wyjścia y i w danej chwili czasu zależy wyłącznie od aktualnej kombinacji stanów

Bardziej szczegółowo

Niektóre własności 1-diagnozowalnych struktur typu PMC

Niektóre własności 1-diagnozowalnych struktur typu PMC BIULETYN INSTYTUTU AUTOMATYKI I ROBOTYKI NR 18, 2003 Niektóre własności 1-diagnozowalnych struktur typu PMC Roman KULESZA Zakład Automatyki, Instytut Teleinformatyki i Automatyki WAT, ul. Kaliskiego 2,

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH Monika

Bardziej szczegółowo

UKŁADY LOGICZNE. Prowadzi: Tadeusz ŁUBA, (GE pok. 472) Wykład dla specjalności: Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE)

UKŁADY LOGICZNE. Prowadzi: Tadeusz ŁUBA, (GE pok. 472) Wykład dla specjalności: Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE) UKŁADY LOGICZNE Wykład dla specjalności: / Uklady Logiczne Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE) ASIC FLEX Prowadzi: Tadeusz ŁUBA, (GE pok. 472) GAL EPLD 1 Organizacja Wykład

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 207 temat: AUTOMATY MOORE A I MEALY 1.

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

Ćwiczenie 26. Temat: Układ z bramkami NAND i bramki AOI..

Ćwiczenie 26. Temat: Układ z bramkami NAND i bramki AOI.. Temat: Układ z bramkami NAND i bramki AOI.. Ćwiczenie 26 Cel ćwiczenia Zapoznanie się ze sposobami konstruowania z bramek NAND różnych bramek logicznych. Konstruowanie bramek NOT, AND i OR z bramek NAND.

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2 WSTĘP O liczbie elementów użytych do budowy jakiegoś urządzenia elektronicznego, a więc i o możliwości obniżenia jego ceny, decyduje dzisiaj liczba zastosowanych w nim układów scalonych. Najstarszą rodziną

Bardziej szczegółowo

Wielopoziomowa synteza układów logicznych

Wielopoziomowa synteza układów logicznych Wielopoziomowa synteza układów logicznych Dwupoziomowa synteza sprowadza się do realizacji, w których pierwszy poziom tworzą bramki AND, a drugi bramki OR. Cała struktura układu jest opisana formułą typu:

Bardziej szczegółowo

ZESZYTY NAUKOWE POLITECHNIKI ŚLĄSKIEJ

ZESZYTY NAUKOWE POLITECHNIKI ŚLĄSKIEJ ZESZYTY NAUKOWE POLITECHNIKI ŚLĄSKIEJ 9. >1 Dariusz KANIA SYNTEZA LOGICZNA PRZEZNACZONA DLA MATRYCOWYCH STRUKTUR PROGRAMOWALNYCH TYPU PAL Gliwice 2004 POLITECHNIKA ŚLĄSKA ZESZYTY NAUKOW E Nr 1619 Dariusz

Bardziej szczegółowo

Modelowanie logiki rewersyjnej w języku VHDL

Modelowanie logiki rewersyjnej w języku VHDL PNIEWSKI Roman 1 Modelowanie logiki rewersyjnej w języku VHDL WSTĘP Konwencjonalne komputery wykorzystują dwuwartościową logikę Boole a. Funkcje opisujące układ cyfrowy wykorzystują najczęściej dwa operatory

Bardziej szczegółowo

Aproksymacja funkcji a regresja symboliczna

Aproksymacja funkcji a regresja symboliczna Aproksymacja funkcji a regresja symboliczna Problem aproksymacji funkcji polega na tym, że funkcję F(x), znaną lub określoną tablicą wartości, należy zastąpić inną funkcją, f(x), zwaną funkcją aproksymującą

Bardziej szczegółowo

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 12 - synteza i minimalizacja funkcji logicznych. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 12 - synteza i minimalizacja funkcji logicznych Instytut Automatyki i Robotyki Warszawa, 2017 Synteza funkcji logicznych Terminy - na bazie funkcji trójargumenowej y = (x 1, x 2, x 3 ) (1) Elementarny

Bardziej szczegółowo

Temat: Zastosowanie wyrażeń regularnych do syntezy i analizy automatów skończonych

Temat: Zastosowanie wyrażeń regularnych do syntezy i analizy automatów skończonych Opracował: dr inż. Zbigniew Buchalski KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie Temat: Zastosowanie wyrażeń regularnych do syntezy i analizy automatów

Bardziej szczegółowo

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera

Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Lekcja na Pracowni Podstaw Techniki Komputerowej z wykorzystaniem komputera Temat lekcji: Minimalizacja funkcji logicznych Etapy lekcji: 1. Podanie tematu i określenie celu lekcji SOSOBY MINIMALIZACJI

Bardziej szczegółowo

ZASTOSOWANIE METOD OPTYMALIZACJI W DOBORZE CECH GEOMETRYCZNYCH KARBU ODCIĄŻAJĄCEGO

ZASTOSOWANIE METOD OPTYMALIZACJI W DOBORZE CECH GEOMETRYCZNYCH KARBU ODCIĄŻAJĄCEGO MODELOWANIE INŻYNIERSKIE ISSN 1896-771X 40, s. 43-48, Gliwice 2010 ZASTOSOWANIE METOD OPTYMALIZACJI W DOBORZE CECH GEOMETRYCZNYCH KARBU ODCIĄŻAJĄCEGO TOMASZ CZAPLA, MARIUSZ PAWLAK Katedra Mechaniki Stosowanej,

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

UKŁADY MIKROPROGRAMOWALNE

UKŁADY MIKROPROGRAMOWALNE UKŁAD MIKROPROGRAMOWALNE Układy sterujące mogą pracować samodzielnie, jednakże w przypadku bardziej złożonych układów (zwanych zespołami funkcjonalnymi) układ sterujący jest tylko jednym z układów drugim

Bardziej szczegółowo

PODSTAWY UKŁADÓW LOGICZNYCH. Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu.

PODSTAWY UKŁADÓW LOGICZNYCH. Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu. PODSTAWY UKŁADÓW LOGICZNYCH Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu.pl 0-22 234-73-30 Organizacja T. Łuba Wykład dr M. Rawski dr G. Borowik Ćwiczenia http://www.zpt.tele.pw.edu.pl

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ Do uŝytku wewnętrznego INFORMATOR LABORATORYJNY TECHNIKA CYFROWA Opracował: dr hab. inŝ. Tadeusz Maciak UWAGA: ćwiczenie 6 jest obecnie przepracowywane.

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle" POKL

dr inż. Rafał Klaus Zajęcia finansowane z projektu Rozwój i doskonalenie kształcenia i ich zastosowań w przemyśle POKL Technika cyfrowa w architekturze komputerów materiał do wykładu 2/3 dr inż. Rafał Klaus Zajęcia finansowane z projektu "Rozwój i doskonalenie kształcenia na Politechnice Poznańskiej w zakresie technologii

Bardziej szczegółowo

Metoda Karnaugh. B A BC A

Metoda Karnaugh. B A BC A Metoda Karnaugh. Powszechnie uważa się, iż układ o mniejszej liczbie elementów jest tańszy i bardziej niezawodny, a spośród dwóch układów o takiej samej liczbie elementów logicznych lepszy jest ten, który

Bardziej szczegółowo

TEORETYCZNE PODSTAWY INFORMATYKI

TEORETYCZNE PODSTAWY INFORMATYKI 1 TEORETYCZNE PODSTAWY INFORMATYKI 16/01/2017 WFAiS UJ, Informatyka Stosowana I rok studiów, I stopień Repetytorium złożoność obliczeniowa 2 Złożoność obliczeniowa Notacja wielkie 0 Notacja Ω i Θ Rozwiązywanie

Bardziej szczegółowo

W jakim celu to robimy? Tablica Karnaugh. Minimalizacja

W jakim celu to robimy? Tablica Karnaugh. Minimalizacja W jakim celu to robimy? W projektowaniu układów cyfrowych istotne jest aby budować je jak najmniejszym kosztem. To znaczy wykorzystanie dwóch bramek jest tańsze niż konieczność wykorzystania trzech dla

Bardziej szczegółowo

Dekompozycja i odwzorowanie technologiczne z wykorzystaniem binarnych diagramów decyzyjnych

Dekompozycja i odwzorowanie technologiczne z wykorzystaniem binarnych diagramów decyzyjnych POLITECHNIKA ŚLĄSKA W GLIWICACH WYDZIAŁ AUTOMATYKI ELEKTRONIKI I INFORMATYKI INSTYTUT INFORMATYKI mgr inż. Marcin Kubica Dekompozycja i odwzorowanie technologiczne z wykorzystaniem binarnych diagramów

Bardziej szczegółowo

INFORMATOR LABORATORYJNY. TECHNIKA CYFROWA (studia niestacjonarne)

INFORMATOR LABORATORYJNY. TECHNIKA CYFROWA (studia niestacjonarne) INFORMATOR LABORATORYJNY TECHNIKA CYFROWA (studia niestacjonarne) A REGULAMIN LABORATORIUM 1. Laboratorium składa się z 3 ćwiczeń (8 terminów zajęć). Udział na każdych zajęciach jest obowiązkowy. Termin

Bardziej szczegółowo

Matematyka dyskretna. Andrzej Łachwa, UJ, /10

Matematyka dyskretna. Andrzej Łachwa, UJ, /10 Matematyka dyskretna Andrzej Łachwa, UJ, 2018 andrzej.lachwa@uj.edu.pl 10/10 Podziały i liczby Stirlinga Liczba Stirlinga dla cykli (często nazywana liczbą Stirlinga pierwszego rodzaju) to liczba permutacji

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool Magdalena Rajewska Robert Berezowski Oleg Maslennikow Adam Słowik Wydział Elektroniki i Informatyki Politechnika Koszalińska ul. JJ Śniadeckich 2, 75-453 Koszalin Wykorzystanie bramek prądowych i napięciowych

Bardziej szczegółowo

WYKORZYSTYWANIE WYJŚCIOWYCH MAKROKOMÓREK UKŁADU PLD W

WYKORZYSTYWANIE WYJŚCIOWYCH MAKROKOMÓREK UKŁADU PLD W WYKORZYSTYWANIE WYJŚCIOWYCH MAKROKOMÓREK UKŁADU PLD W CHARAKTERZE ELEMENTÓW PAMIĘCI AUTOMATU SKOŃCZONEGO Adam Klimowicz, Walery Sołowjew Wydział Informatyki Politechniki Białostockiej, ul. Wiejska 45A,

Bardziej szczegółowo

10. Kolorowanie wierzchołków grafu

10. Kolorowanie wierzchołków grafu p. 10. Kolorowanie wierzchołków grafu 10.1 Definicje i twierdzenia Przez k-kolorowanie wierzchołków grafu G rozumiemy przyporzadkowanie każdemu wierzchołkowi grafu G jednego z k kolorów 1, 2,...,k. p.

Bardziej szczegółowo

Gdynia, dr hab. inż. Krzysztof Górecki, prof. nadzw. AMG Katedra Elektroniki Morskiej Akademia Morska w Gdyni

Gdynia, dr hab. inż. Krzysztof Górecki, prof. nadzw. AMG Katedra Elektroniki Morskiej Akademia Morska w Gdyni Gdynia, 2016-03-24 dr hab. inż. Krzysztof Górecki, prof. nadzw. AMG Katedra Elektroniki Morskiej Akademia Morska w Gdyni Ocena rozprawy doktorskiej mgr inż. Marcina Waleckiego nt. "Zastosowanie wielowejściowych

Bardziej szczegółowo

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego

Podstawy Automatyki. Człowiek- najlepsza inwestycja. Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Podstawy Automatyki Człowiek- najlepsza inwestycja Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Politechnika Warszawska Instytut Automatyki i Robotyki Dr inż.

Bardziej szczegółowo

Algorytmy sztucznej inteligencji

Algorytmy sztucznej inteligencji Algorytmy sztucznej inteligencji Dynamiczne sieci neuronowe 1 Zapis macierzowy sieci neuronowych Poniżej omówione zostaną części składowe sieci neuronowych i metoda ich zapisu za pomocą macierzy. Obliczenia

Bardziej szczegółowo

Adam Opara. Dekompozycyjne metody syntezy układów kombinacyjnych wykorzystujące binarne diagramy decyzyjne

Adam Opara. Dekompozycyjne metody syntezy układów kombinacyjnych wykorzystujące binarne diagramy decyzyjne POLITECHNIKA ŚLĄSKA W GLIWICACH WYDZIAŁ AUTOMATYKI ELEKTRONIKI I INFORMATYKI INSTYTUT INFORMATYKI Adam Opara Dekompozycyjne metody syntezy układów kombinacyjnych wykorzystujące binarne diagramy decyzyjne

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

w analizie wyników badań eksperymentalnych, w problemach modelowania zjawisk fizycznych, w analizie obserwacji statystycznych.

w analizie wyników badań eksperymentalnych, w problemach modelowania zjawisk fizycznych, w analizie obserwacji statystycznych. Aproksymacja funkcji a regresja symboliczna Problem aproksymacji funkcji polega na tym, że funkcję F(), znaną lub określoną tablicą wartości, należy zastąpić inną funkcją, f(), zwaną funkcją aproksymującą

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Instytut Politechniczny Państwowa Wyższa Szkoła Zawodowa. Diagnostyka i niezawodność robotów

Instytut Politechniczny Państwowa Wyższa Szkoła Zawodowa. Diagnostyka i niezawodność robotów Instytut Politechniczny Państwowa Wyższa Szkoła Zawodowa Diagnostyka i niezawodność robotów Laboratorium nr 6 Model matematyczny elementu naprawialnego Prowadzący: mgr inż. Marcel Luzar Cele ćwiczenia:

Bardziej szczegółowo

Analiza korespondencji

Analiza korespondencji Analiza korespondencji Kiedy stosujemy? 2 W wielu badaniach mamy do czynienia ze zmiennymi jakościowymi (nominalne i porządkowe) typu np.: płeć, wykształcenie, status palenia. Punktem wyjścia do analizy

Bardziej szczegółowo

Minimalizacja funkcji boolowskich c.d.

Minimalizacja funkcji boolowskich c.d. Minimalizacja funkcji boolowskich c.d. Metoda tablic Karnaugha Metoda Quine a McCluskey a Absolutnie nieprzydatna do obliczeń komputerowych Pierwsze skuteczne narzędzie do minimalizacji wieloargumentowych

Bardziej szczegółowo

Laboratorium podstaw elektroniki

Laboratorium podstaw elektroniki 150875 Grzegorz Graczyk numer indeksu imie i nazwisko 150889 Anna Janicka numer indeksu imie i nazwisko Grupa: 2 Grupa: 5 kierunek Informatyka semestr 2 rok akademicki 2008/09 Laboratorium podstaw elektroniki

Bardziej szczegółowo

Modelowanie przetworników pomiarowych Kod przedmiotu

Modelowanie przetworników pomiarowych Kod przedmiotu Modelowanie przetworników pomiarowych - opis przedmiotu Informacje ogólne Nazwa przedmiotu Modelowanie przetworników pomiarowych Kod przedmiotu 06.0-WE-ED-MPP Wydział Kierunek Wydział Informatyki, Elektrotechniki

Bardziej szczegółowo

W ujęciu abstrakcyjnym automat parametryczny <A> można wyrazić następującą "ósemką":

W ujęciu abstrakcyjnym automat parametryczny <A> można wyrazić następującą ósemką: KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych ćwiczenie 206 Temat: Automat parametryczny. Wiadomości podstawowe Automat parametryczny jest automatem skończonym

Bardziej szczegółowo

S P R A W O Z D A N I E T e m a t: Projektowanie układów realizujących złożone funkcje logiczne.

S P R A W O Z D A N I E T e m a t: Projektowanie układów realizujących złożone funkcje logiczne. LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH G r u p a: E3DO O c e n a Data wykonania Prowadzący ćwiczenie: ćwiczenia: dr inż. Zbigniew JACHNA 27.04.2006 Przemysław Data oddania Podpis:: PANKOWSKI

Bardziej szczegółowo

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych. dr inż. Jakub Możaryn. Instytut Automatyki i Robotyki Wykład 9 - Podstawy matematyczne automatyki procesów dyskretnych Instytut Automatyki i Robotyki Warszawa, 2015 Kody liczb całkowitych nieujemnych Kody liczbowe dzielimy na analityczne nieanalityczne (symboliczne)

Bardziej szczegółowo

WYKORZYSTANIE SIECI NEURONOWEJ DO BADANIA WPŁYWU WYDOBYCIA NA SEJSMICZNOŚĆ W KOPALNIACH WĘGLA KAMIENNEGO. Stanisław Kowalik (Poland, Gliwice)

WYKORZYSTANIE SIECI NEURONOWEJ DO BADANIA WPŁYWU WYDOBYCIA NA SEJSMICZNOŚĆ W KOPALNIACH WĘGLA KAMIENNEGO. Stanisław Kowalik (Poland, Gliwice) WYKORZYSTANIE SIECI NEURONOWEJ DO BADANIA WPŁYWU WYDOBYCIA NA SEJSMICZNOŚĆ W KOPALNIACH WĘGLA KAMIENNEGO Stanisław Kowalik (Poland, Gliwice) 1. Wprowadzenie Wstrząsy podziemne i tąpania występujące w kopalniach

Bardziej szczegółowo

ZASTOSOWANIE PROGRAMOWANIA GRAFICZNEGO W DYDAKTYCE AUTOMATYKI

ZASTOSOWANIE PROGRAMOWANIA GRAFICZNEGO W DYDAKTYCE AUTOMATYKI PRACE NAUKOWE Akademii im. Jana Długosza w Częstochowie SERIA: Edukacja Techniczna i Informatyczna 2011 z. VI Maciej Drabik Akademia im. Jana Długosza w Częstochowie ZASTOSOWANIE PROGRAMOWANIA GRAFICZNEGO

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania KOMPUTEROWE SYSTEMY STEROWANIA I WSPOMAGANIA DECYZJI Rozproszone programowanie produkcji z wykorzystaniem

Bardziej szczegółowo

POLITECHNIKA POZNAŃSKA

POLITECHNIKA POZNAŃSKA POLITECHNIKA POZNAŃSKA INSTYTUT ELEKTROTECHNIKI I ELEKTRONIKI PRZEMYSŁOWEJ Zakład Elektrotechniki Teoretycznej i Stosowanej Laboratorium Podstaw Telekomunikacji Ćwiczenie nr 5 Rok akademicki: Wydział:

Bardziej szczegółowo

WYZNACZANIE KOSZTÓW TRANSPORTU Z WYKORZYSTANIEM OCTAVE 3.4.3

WYZNACZANIE KOSZTÓW TRANSPORTU Z WYKORZYSTANIEM OCTAVE 3.4.3 PRACE NAUKOWE POLITECHNIKI WARSZAWSKIEJ z. 111 Transport 2016 Joanna Szkutnik-, Wojskowa Akademia Techniczna, W WYZNACZANIE KOSZTÓW TRANSPORTU Z WYKORZYSTANIEM OCTAVE 3.4.3 : maj 2016 Streszczenie: samochodowej.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH

ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH Tadeusz Łuba Instytut Telekomunikacji Politechniki Warszawskiej Nowowiejska 15/19, 00-665 Warszawa http://wwwzpt.tele.pw.edu.pl ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH

Bardziej szczegółowo

Synteza strukturalna automatu Moore'a i Mealy

Synteza strukturalna automatu Moore'a i Mealy Synteza strukturalna automatu Moore'a i Mealy (wersja robocza - w razie zauważenia błędów proszę o uwagi na mail'a) Załóżmy, że mamy następujący graf automatu z 2 y 0 q 0 z 1 z 1 z 0 z 0 y 1 z 2 q 2 z

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo