UKŁADY LOGICZNE. Prowadzi: Tadeusz ŁUBA, (GE pok. 472) Wykład dla specjalności: Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE)

Wielkość: px
Rozpocząć pokaz od strony:

Download "UKŁADY LOGICZNE. Prowadzi: Tadeusz ŁUBA, (GE pok. 472) Wykład dla specjalności: Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE)"

Transkrypt

1 UKŁADY LOGICZNE Wykład dla specjalności: / Uklady Logiczne Elektronika i Inżynieria Komputerowa, Inżynieria Biomedyczna (ULOGE) ASIC FLEX Prowadzi: Tadeusz ŁUBA, (GE pok. 472) GAL EPLD 1

2 Organizacja Wykład Tadeusz Łuba Laboratorium Kierownik LAB: Dr Adam Wojtasik pok

3 Kolokwia... Laboratorium 40 pkt. Kolo (pod koniec sem.) 60 pkt 3

4 Literatura 1. Ashar P., Devadas S., Newton A. R.: Sequential logic synthesis, Kluwer Academic 2. Minimization Algorithms for VLSI Synthesis, Kluwer Academic Publishers, Boston, Brown F. M.: Boolean Reasoning. The Logic of Boolean Equation, Kluwer Academic Publishers, Brzozowski J. A, Seger C-J.: Asynchronous Circuits, Springer Verlag, New York Brzozowski J., Łuba T.: Decomposition of Boolean Functions Specified by Cubes. Journal of Multiple-Valued Logic and Soft Computing. Vol. 9, pp Old City Publishing, Inc., Philadelphia De Micheli G.: Synthesis and Optimization of Digital Circuits. McGraw-Hill, New York, Również tłumaczenie polskie: Łuba Synteza T.: i optymalizacja układów cyfrowych. WNT, Warszawa Devadas, S., Ghosh, A., and Keutzer, Synteza K. Logic Synthesis. układów McGraw-Hill, New York, Gajski D.D.: Principles of digital design. Prentice-Hall logicznych. International, New jersey Hassoun S., Sasao T., Brayton R. (ed.): Logic Synthesis and Verification. Kluwer Academic Publishers, New York Iman S., Pedram M.: Logic Synthesis for Low Power VLSI Design, Kluwer Academic Publishers, PW Kamionka-Mikuła H., Małysiak H., Pochopień B.: Układy cyfrowe. Teoria i przykłady. Wyd. Pracowni Komputerowej Jacka Skalmierskiego. Gliwice Kania D.: Synteza logiczna przeznaczona dla matrycowych struktur programowalnych typu PAL. Politechnika Śląska. Zeszyty Naukowe. Nr Gliwice Katz R. H.: Contemporary logic design. The Benjamin/Cummings Publishing Company, Inc., Redwood City, Kohavi Z.: Switching and Finite Automata Theory. Mc-Graw-Hill, New York, Kuźmicz W.: Układy ASIC w polskich realiach. Przegląd Telekomunikacyjny i Wiadomości Telekomunikacyjne, nr 8, pp , Lala P.K.: Practical digital logic design and testing. Prentice-Hall, New Jersey Łuba T.(red.), Rawski M., Tomaszewicz P., Zbierzchowski B.: Synteza układów cyfrowych. WKŁ Warszawa Łuba T., Zbierzchowski B., Zbysiński P.: Układy reprogramowalne dla potrzeb telekomunikacji cyfrowej. Przegląd Telekomunikacyjny i Wiadomości Telekomunikacyjne, nr 5, Oficyna Wydawnicza 19. Łuba T.: Synteza układów logicznych. Wyższa Szkoła Informatyki Stosowanej i Zarządzania, Wyd. 2, Warszawa Łuba T.: Rola i znaczenie syntezy logicznej w technice cyfrowej układów programowalnych. Elektronika, str , nr 7-8, Łuba T., Jasiński K., Zbierzchowski B.: Programowalne układy przetwarzania sygnałów i informacji - technika cyfrowa w multimediach i kryptografii, Przegląd Telekomunikacyjny i Wiadomości Telekomunikacyjne, str , nr 8-9, Majewski W., Albicki A.: Algebraiczna teoria automatów. WNT, Warszawa Mikołajczak B.: Algebraiczna i strukturalna teoria automatów. PWN, Warszawa Łódź Mulawka J.: Systemy ekspertowe. WNT, Warszawa Mrózek A., Płonka L.: Analiza danych metodą zbiorów przybliżonych. Zastosowania w ekonomii, medycynie i sterowaniu. Akademicka Oficyna Wydawnicza PLJ, Warszawa McCluskey E. J.: Logic design principles, with emphasis on testable semicustom circuits. Prentice-hall International, Inc., New Jersey Pawlak Z.:Rough Sets. Theoretical Aspects of Reasoning about Data, Kluwer Academic Publishers, Roth C. H.: Fundamentals of Logic Design. West Publ. CO., Salsic Z., Smailagic A.: Digital systems design and prototyping using field programmable logic. Kluwer Academic Publishers, Sasao T.: Switching Theory for Logic Synthesis, Kluwer Academic Publishers, Sasao T.: Logic Synthesis and Optimization. Kluwer Academic Publishers, Słowiński R.(ed.): Intelligent Decision Support - Handbook of Applications and Advances of the Rough Sets Theory, Kluwer Academic Publishers, Dordrecht Scholl C.: Functional Decomposition with Application to FPGA Synthesis. Kluwer Academic Publisher, Boston Tyszer J.: Układy cyfrowe. Materiały pomocnicze do wykładów. Wyd. Politechniki Poznańskiej. Poznań Zieliński C.: Podstawy projektowania układów cyfrowych. PWN, Warszawa Zbysiński P., Pasierbiński J.: Układy programowalne pierwsze kroki. Wyd. II, Wydawnictwo BTC. Warszawa

5 Literatura... 5

6 Literatura dla najbardziej zainteresowanych 6

7 7

8 WYKŁADY W ZAKŁADZIE PODSTAW TELEKOMUNIKACJI Plansze i materiały pomocnicze do wykładów z układów logicznych i cyfrowych Uk ady Logiczne (ULOGT) Uk ady Logiczne (ULOGE) Laboratorium Uk adów Logicznych (Telekomunikacja) Uk ady Cyfrowe Synteza i Optymalizacja Uk adów Cyfrowych Elementy Ochrony Informacji Organizacja Sektora Telekomunikacyjnego Projektowanie Programowalnych Uk adów Scalonych Projektowanie Wiarygodnych Systemów Cyfrowych Tu kliknąć! 8

9 04/05/ :10:05 1. Układy logiczne - ULOGT, ULOGE (Tadeusz Łuba) 2. Układy cyfrowe - sem. 4 (Mariusz Rawski) 3. Projektowanie Programowalnych Układów Scalonych (Krzysztof Jasiński, Paweł Tomaszewicz) 4. Technika cyfrowa (Tadeusz Łuba) 5. Układy cyfrowe - projekt 6. Systemy projektowe (MAX+PLUSII), instrukcje, katalogi. 7. ORIENTACJA - Elektronika i Inżynieria komputerowa Tu kliknąć! ERRATA do książ ążki: Synteza Układów Cyfrowych, Praca zbiorowa pod red. prof. T. Łuby, WKiŁ Warszawa ERRATA do skryptu: T. Łuba, B. Zbierzchowski: Układy logiczne, WSISiZ, Warszawa

10 12:26:35 Układy Logiczne: 06/16/2005 o Wykład wstępny-telekomunikacja (PPT kb) o Wykład wstępny-elektronika (PPT kb) o Wykład cz.1 (PDF kb) (PPT kb) o Wykład cz.2 (PDF kb) (PPT kb) o Wykład cz.3 (PDF kb) (PPT kb) o Wykład cz.4a (PDF kb) (PPT kb) o Eksperymenty do wykładu 4 (ZIP kb) o Wykład cz.4b (PDF kb) (PPT kb) o Wykład cz.5 (PDF kb) (PPT kb) o Wykład cz.6a (PDF kb) (PPT kb) o Wykład cz.6b (PDF kb) (PPT kb) o Wykład cz.6-e (PDF kb) (PPT kb) o Wykład cz.7a (PDF kb) (PPT kb) o Wykład cz.7b (PDF kb) (PPT kb) o Wykład cz.8a-e (PDF kb) (PPT kb) o Wykład cz.8b-e (PDF kb) (PPT kb) o Wykład cz.8a-t (PDF kb) (PPT kb) o Wykład cz.8b-t (PDF kb) (PPT kb) o Wykład cz.9 (PDF kb) (PPT kb) o Wykład cz.10 (PDF kb) (PPT kb) o Wykład cz.11 (PDF kb) (PPT kb) o Wykład cz.12-t (PPT kb) o Wykład cz.12-e (PDF kb) (PPT kb) o Wykład cz.13-t (PDF kb) (PPT kb) o 10

11 Z układami logicznymi mamy do czynienia od dawna... Rok 1847 George Boole "The Mathematical Analysis of Logic" 11

12 ... dzisiaj Układ logiczny 4 12

13 ... Rozwój j technologii ASIC 40 mln. tranzystorów 10 mln. bramek 13

14 Rozwój technologii Full Custom Semi custom Układy programowalne układy zamawiane przez użytkownika układy projektowane przez użytkownika układy programowane przez użytkownika (PLD) 14

15 UKŁADY PROGRAMOWALNE Osiągają pojemność pozwalającą realizować w jednym układzie systemy o złożoności odpowiadającej milionom bramek logicznych Układy mające możliwość reprogramowania i rekonfiguracji FPLD PLD Fabryka na biurku SOPC układy programowane przez użytkownika (PLD) 15

16 UKŁADY PROGRAMOWALNE 16

17 Systemy CAD Synteza funkcjonalna Synteza logiczna Odwzorowanie technologiczne Miliony bramek FPGA 17

18 Nowoczesna synteza logiczna 1984 (Espresso) Synteza dwupoziomowa Rozwój technologii Synteza wielopoziomowa Synteza matryc PLA 1990 Minimalizacja symboliczna Dekompozycja funkcjonalna

19 Problem w tym, że najnowsze procedury syntezy logicznej nie są jeszcze wbudowane do komercyjnych systemów projektowania układów cyfrowych 19

20 Systemy komercyjne i uniwersyteckie Komercyjne systemy projektowania nie nadążają za rozwojem technologii. Dlatego powstają Uniwersyteckie Systemy Syntezy Logicznej (USSL): niewygodne do bezpośredniego projektowania, ale generują wyniki niekiedy 10-krotnie lepsze I to jest główną przyczyną tego, że wykład ten wbrew obiegowej opinii ma ogromne znaczenie dla praktyki projektowania układów cyfrowych 20

21 Eksperyment z algorytmem DES W eksperymencie tym porównamy wyniki syntezy uzyskiwane w komercyjnym systemie projektowania układów cyfrowych (MAX+PLUSII) z wynikami uzyskanymi przy wspomaganiu tego systemu nie stosowanymi jeszcze w systemach komercyjnych procedurami dekompozycji funkcjonalnej. 21

22 Jakość projektu... Komórka logiczna We/wy Kanały połączeniowe... określa liczba zajętych komórek 22

23 Schemat blokowy rundy algorytmu DES Runda R E S 1 S 2 S 3 K S 4 S 5 P S 6 S 7 L S 8 23

24 Operacja podstawienia DES-a 24

25 Wyniki syntezy S-Boxów S 1 S 2 S 3 S 4 S 5 S 6 S 7 S 8 MAX+Plus II Liczba komórek log Max. opóźnienie [ns] 28,1 31,6 33,5 34,0 28,4 30,4 34,3 31,4 S 1 S 2 S 3 S 4 S 5 S 6 S 7 S 8 MAX+Plus II Liczba komórek log DEMAIN Max. opóźnienie [ns] 19,5 17,2 16,7 19,0 19,0 19,4 17,7 17,6 Ponad 3 krotna redukcja komórek logicznych

26 Znaczenie praktyczne... Ciekawe jak to wpływa na realizację całego projektu 26

27 Implementacja algorytmu DES Altera 709 komórek logicznych P = 28,8 MHz / 16 x 64 bits = 115 Mbit/s Altera + DEMAIN 296 komórek logicznych P = 51,5 MHz / 16 x 64 bits = 206 Mbit/s 27

28 Znaczenie dekompozycji 585 komórek 184 komórek Ograniczenie układu EPF10K 576 komórek Realizacja bez dekompozycji Realizacja z zastosowaniem dekompozycji 28

29 Układ kryptograficzny Opis innych eksperymentów można znaleźć w książce 29

30 Podsumowanie Realizacje różnych układów np. kryptograficznych, DSP, w strukturach PLD/FPGA, z zastosowaniem nowoczesnych metod syntezy logicznej, a w szczególności Uniwersyteckich Systemów Syntezy Logicznej, to ze względu na dynamikę rozwoju technologii szanse przede wszystkim dla aktualnie studiujących 30

31 Więcej na ten temat w książce: 1. WSTĘP 1.1. Rola i znaczenie syntezy logicznej 1.2. Technologie mikroelektroniczne układów cyfrowych 1.3. Programowalne moduły logiczne 1.4. Zagadnienia związane z projektowaniem układów cyfrowych 1.5. Charakterystyka zagadnień syntezy logicznej 31

PODSTAWY UKŁADÓW LOGICZNYCH. Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu.

PODSTAWY UKŁADÓW LOGICZNYCH. Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu. PODSTAWY UKŁADÓW LOGICZNYCH Prowadzi: prof. dr hab. Tadeusz ŁUBA, (Nowowiejska 15/19, GE pok. 472) luba@tele.pw.edu.pl 0-22 234-73-30 Organizacja T. Łuba Wykład dr M. Rawski dr G. Borowik Ćwiczenia http://www.zpt.tele.pw.edu.pl

Bardziej szczegółowo

DIGITAL LOGIC. Warsaw Information Technology Warsaw University of Technology. Digital Logic. Prof. Tadeusz Łuba

DIGITAL LOGIC. Warsaw Information Technology Warsaw University of Technology. Digital Logic. Prof. Tadeusz Łuba DIGITAL LOGIC / Digital Logic Prof. Tadeusz Łuba Warsaw Information Technology Warsaw University of Technology 1 Our communication 0-22- 825-1580 luba@tele.pw.edu.pl The subject homepage is located at:

Bardziej szczegółowo

Technika cyfrowa. Paweł Tomaszewicz

Technika cyfrowa. Paweł Tomaszewicz Technika cyfrowa Paweł Tomaszewicz Gmach Elektroniki Nowowiejska 15/19 pok. 482, tel. 234 7514 Emalia: pawel.tomaszewicz@wit.edu.pl http://tomaszewicz.zpt.tele.pw.edu.pl 1 Organizacja Paweł Tomaszewicz

Bardziej szczegółowo

INFORMATOR LABORATORYJNY. TECHNIKA CYFROWA (studia niestacjonarne)

INFORMATOR LABORATORYJNY. TECHNIKA CYFROWA (studia niestacjonarne) INFORMATOR LABORATORYJNY TECHNIKA CYFROWA (studia niestacjonarne) A REGULAMIN LABORATORIUM 1. Laboratorium składa się z 3 ćwiczeń (8 terminów zajęć). Udział na każdych zajęciach jest obowiązkowy. Termin

Bardziej szczegółowo

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002.

T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Książkę: T. Łuba, B. Zbierzchowski Układy logiczne Podręcznik WSISiZ, Warszawa 2002. Można zakupić po najniższej cenie w księgarni Wyższej Szkoły Informatyki Stosowanej i Zarządzania ul. Newelska 6 pok.

Bardziej szczegółowo

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ

INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ INSTYTUT INFORMATYKI POLITECHNIKI BIAŁOSTOCKIEJ Do uŝytku wewnętrznego INFORMATOR LABORATORYJNY TECHNIKA CYFROWA Opracował: dr hab. inŝ. Tadeusz Maciak UWAGA: ćwiczenie 6 jest obecnie przepracowywane.

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH

ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH Tadeusz Łuba Instytut Telekomunikacji Politechniki Warszawskiej Nowowiejska 15/19, 00-665 Warszawa http://wwwzpt.tele.pw.edu.pl ROLA I ZNACZENIE SYNTEZY LOGICZNEJ W TECHNICE CYFROWEJ UKŁADÓW PROGRAMOWALNYCH

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

Sylabus. WYDZIAŁ FIZYKI Uniwersytet im. Adama Mickiewicza w Poznaniu

Sylabus. WYDZIAŁ FIZYKI Uniwersytet im. Adama Mickiewicza w Poznaniu Sylabus WYDZIAŁ FIZYKI Uniwersytet im. Adama Mickiewicza w Poznaniu Zakład Elektroniki Kwantowej; Zakład Radiospektroskopii Pracownia Elektroniki Cyfrowej Stopień/tytuł naukowy Dr Dr Imię Zdzisław Stanisław

Bardziej szczegółowo

Podstawy techniki cyfrowej

Podstawy techniki cyfrowej Podstawy techniki cyfrowej Wykład 1: Wstęp Dr hab. inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Informacje o przedmiocie Wprowadzenie Podstawy matematyczne:

Bardziej szczegółowo

MIKROPROCESOROWE UKŁADY STEROWANIA

MIKROPROCESOROWE UKŁADY STEROWANIA Mikroprocesorowe Układy Sterowania MIKROPROCESOROWE UKŁADY STEROWANIA Prowadzący: dr inż. Paweł Szczepankowski e-mail: pszczep@ely.pg.gda.pl telefon: 58 3471139 WYKŁAD 1. Warsztat pracy inżyniera MUS narzędzia

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD

Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD BIULETYN WAT VOL. LVIII, NR 3, 29 Synteza logiczna układu realizującego zespół funkcji przełączających z użyciem bramek XOR w strukturach CPLD DARIUSZ KANIA 1, WALDEMAR GRABIEC 1 Politechnika Śląska, Wydział

Bardziej szczegółowo

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH Monika

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

Przetwarzanie sygnałów z zastosowaniem procesorów sygnałowych - opis przedmiotu

Przetwarzanie sygnałów z zastosowaniem procesorów sygnałowych - opis przedmiotu Przetwarzanie sygnałów z zastosowaniem procesorów sygnałowych - opis przedmiotu Informacje ogólne Nazwa przedmiotu Przetwarzanie sygnałów z zastosowaniem procesorów sygnałowych Kod przedmiotu 06.5-WE-EP-PSzZPS

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne Załącznik nr do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Elektronika i Telekomunikacja I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny)

Elektronika i Telekomunikacja I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu EiT_S_I_RE_AEwT Nazwa modułu Regulatory elektroniczne Nazwa modułu w języku angielskim

Bardziej szczegółowo

Nazwa przedmiotu: METODY SZTUCZNEJ INTELIGENCJI W ZAGADNIENIACH EKONOMICZNYCH Artificial intelligence methods in economic issues Kierunek:

Nazwa przedmiotu: METODY SZTUCZNEJ INTELIGENCJI W ZAGADNIENIACH EKONOMICZNYCH Artificial intelligence methods in economic issues Kierunek: Nazwa przedmiotu: METODY SZTUCZNEJ INTELIGENCJI W ZAGADNIENIACH EKONOMICZNYCH Artificial intelligence methods in economic issues Kierunek: Forma studiów: Informatyka Stacjonarne Rodzaj przedmiotu: obowiązkowy

Bardziej szczegółowo

CYFROWE PRZETWARZANIE SYGNAŁÓW

CYFROWE PRZETWARZANIE SYGNAŁÓW POLITECHNIKA RZESZOWSKA im. I. Łukasiewicza WYDZIAŁ ELEKTROTECHNIKI I INFORMATYKI Katedra Metrologii i Systemów Diagnostycznych CYFROWE PRZETWARZANIE SYGNAŁÓW Podstawowe informacje o przedmiocie Wymiar

Bardziej szczegółowo

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć Systemy Wbudowane Kod przedmiotu: SW Rodzaj przedmiotu: kierunkowy ; obowiązkowy Wydział: Informatyki Kierunek: Informatyka Specjalność (specjalizacja): - Poziom studiów: pierwszego stopnia Profil studiów:

Bardziej szczegółowo

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Elektrotechnika I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny)

Elektrotechnika I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny) KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Układy Elektroniczne Automatyki 2 Nazwa modułu w języku angielskim Electronic

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Zał nr 4 do ZW. Dla grupy kursów zaznaczyć kurs końcowy. Liczba punktów ECTS 2 2 2 charakterze praktycznym (P)

Zał nr 4 do ZW. Dla grupy kursów zaznaczyć kurs końcowy. Liczba punktów ECTS 2 2 2 charakterze praktycznym (P) Zał nr 4 do ZW WYDZIAŁ PODSTAWOWYCH PROBLEMÓW TECHNIKI KARTA PRZEDMIOTU Nazwa w języku polskim : Systemy Wbudowane w Bezpieczeństwie Komputerowym Nazwa w języku angielskim : Embedded Security Systems Kierunek

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

KARTA PRZEDMIOTU. Podstawy elektroniki cyfrowej B6. Fundamentals of digital electronic

KARTA PRZEDMIOTU. Podstawy elektroniki cyfrowej B6. Fundamentals of digital electronic KARTA PRZEDMIOTU 1. Informacje ogólne Nazwa przedmiotu i kod (wg planu studiów): Nazwa przedmiotu (j. ang.): Kierunek studiów: Specjalność/specjalizacja: Poziom kształcenia: Profil kształcenia: Forma studiów:

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: SYSTEMY INFORMATYCZNE WSPOMAGAJĄCE DIAGNOSTYKĘ MEDYCZNĄ Kierunek: Inżynieria Biomedyczna Rodzaj przedmiotu: obowiązkowy moduł specjalności informatyka medyczna Rodzaj zajęć: wykład, projekt

Bardziej szczegółowo

kierunkowy (podstawowy / kierunkowy / inny HES) nieobowiązkowy (obowiązkowy / nieobowiązkowy) język polski III semestr letni (semestr zimowy / letni)

kierunkowy (podstawowy / kierunkowy / inny HES) nieobowiązkowy (obowiązkowy / nieobowiązkowy) język polski III semestr letni (semestr zimowy / letni) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Układy elektroniczne w maszynach elektrycznych Nazwa modułu w języku angielskim

Bardziej szczegółowo

Urządzenia techniki komputerowej. Cyfrowe układy funkcjonalne

Urządzenia techniki komputerowej. Cyfrowe układy funkcjonalne Urządzenia techniki komputerowej Cyfrowe układy funkcjonalne Technika cyfrowa jest w dzisiejszych czasach obszarem wiedzy o całkowicie interdyscyplinarnym obliczu. Jej zagadnienia kształtowane z jednej

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EEL s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy sterowania logicznego Rok akademicki: 2030/2031 Kod: EEL-1-523-s Punkty ECTS: 5 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Informatyka- studia I-go stopnia

Informatyka- studia I-go stopnia SPECJALNOŚĆ: Informatyka w Zarządzaniu Obowiązuje od roku akademickiego: 2007 / 2008 1 Modelowanie procesów biznesowych 30 30 60 6 2 2 6 2 Eksploracja danych 30 3 1 1 3 3 Wspomaganie decyzji w warunkach

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Wykład organizacyjny

Wykład organizacyjny Automatyka - zastosowania, metody i narzędzia, perspektywy na studiach I stopnia specjalności: Automatyka i systemy sterowania Wykład organizacyjny dr inż. Michał Grochowski kiss.pg.mg@gmail.com michal.grochowski@pg.gda.pl

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

Kierunek: Elektronika i Telekomunikacja Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia

Kierunek: Elektronika i Telekomunikacja Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia Wydział: Informatyki, Elektroniki i Telekomunikacji Kierunek: Elektronika i Telekomunikacja Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Rocznik: 2014/2015 Język wykładowy: Polski

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Mechatronika Rodzaj przedmiotu: obowiązkowy Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU PRZEWODNIK PO PRZEDMIOCIE C1. Zapoznanie studentów z inteligentnymi

Bardziej szczegółowo

Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia

Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia Wydział: Informatyki, Elektroniki i Telekomunikacji Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Rocznik: 2016/2017 Język wykładowy: Polski Semestr 1 IIN-1-103-s

Bardziej szczegółowo

Z-ZIP2-303z Zagadnienia optymalizacji Problems of optimization

Z-ZIP2-303z Zagadnienia optymalizacji Problems of optimization KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 0/03 Z-ZIP-303z Zagadnienia optymalizacji Problems of optimization A. USYTUOWANIE

Bardziej szczegółowo

Informacje ogólne. Podstawy Automatyki I. Instytut Automatyki i Robotyki

Informacje ogólne. Podstawy Automatyki I. Instytut Automatyki i Robotyki Informacje ogólne 1 Podstawy Automatyki I Instytut Automatyki i Robotyki Autorzy programu: prof. dr hab. inż. Jan Maciej Kościelny, dr inż. Wieńczysław Jacek Kościelny Semestr V Liczba godzin zajęć według

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: PROGRAMOWANIE SYSTEMÓW WBUDOWANYCH Kierunek: Informatyka Rodzaj przedmiotu: moduł specjalności obowiązkowy: Inżynieria o Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU

Bardziej szczegółowo

ID1SII4. Informatyka I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny) stacjonarne (stacjonarne / niestacjonarne)

ID1SII4. Informatyka I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny) stacjonarne (stacjonarne / niestacjonarne) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu ID1SII4 Nazwa modułu Systemy inteligentne 1 Nazwa modułu w języku angielskim Intelligent

Bardziej szczegółowo

KARTA PRZEDMIOTU KARTA PRZEDMIOTU

KARTA PRZEDMIOTU KARTA PRZEDMIOTU 1/1 Wydział Automatyki, Elektroniki i Informatyki, Rok akademicki: 2009/2010 Kierunek: INFORMATYKA Specjalność: wszystkie specjalności Tryb studiów: Stacjonarne pierwszego stopnia Rodzaj przedmiotu: kierunkowy

Bardziej szczegółowo

Kierunek Informatyka stosowana Studia stacjonarne Studia pierwszego stopnia

Kierunek Informatyka stosowana Studia stacjonarne Studia pierwszego stopnia Studia pierwszego stopnia I rok Matematyka dyskretna 30 30 Egzamin 5 Analiza matematyczna 30 30 Egzamin 5 Algebra liniowa 30 30 Egzamin 5 Statystyka i rachunek prawdopodobieństwa 30 30 Egzamin 5 Opracowywanie

Bardziej szczegółowo

Sterowniki Programowalne (SP) - Wykład #1 Wykład organizacyjny

Sterowniki Programowalne (SP) - Wykład #1 Wykład organizacyjny Sterowniki Programowalne (SP) - Wykład #1 Wykład organizacyjny WYDZIAŁ ELEKTROTECHNIKI I AUTOMATYKI KATEDRA INŻYNIERII SYSTEMÓW STEROWANIA Jarosław Tarnawski, dr inż. Październik 2016 SP wykład organizacyjny

Bardziej szczegółowo

Field of study: Electronics and Telecommunications Study level: First-cycle studies Form and type of study: Full-time studies. Auditorium classes

Field of study: Electronics and Telecommunications Study level: First-cycle studies Form and type of study: Full-time studies. Auditorium classes Faculty of: Computer Science, Electronics and Telecommunications Field of study: Electronics and Telecommunications Study level: First-cycle studies Form and type of study: Full-time studies Annual: 2014/2015

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Opisy efektów kształcenia dla modułu

Opisy efektów kształcenia dla modułu Karta modułu - Języki opisu sprzętu 1 / 8 Nazwa modułu: Języki opisu sprzętu Rocznik: 2012/2013 Kod: JIS-1-013-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Poziom studiów: Studia I stopnia

Bardziej szczegółowo

PLAN NIESTACJONARNYCH STUDIÓW PIERWSZEGO STOPNIA (INŻYNIERSKICH) NA KIERUNKU INFORMATYKA

PLAN NIESTACJONARNYCH STUDIÓW PIERWSZEGO STOPNIA (INŻYNIERSKICH) NA KIERUNKU INFORMATYKA PLAN NIESTACJONARNYCH STUDIÓ PIERSZEGO STOPNIA (INŻYNIERSKICH) NA KIERUNKU INFORMATYKA Nabór 2013/2014 Obowiązuje A. PRZEDMIOTY KSZTAŁCENIA OGÓLNEGO 1. JĘZYKI OBCE 180 210 60 150 14 120 120 0 120 5 1 Język

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: PODSTAWY MODELOWANIA PROCESÓW WYTWARZANIA Fundamentals of manufacturing processes modeling Kierunek: Mechanika i Budowa Maszyn Rodzaj przedmiotu: obowiązkowy na specjalności APWiR Rodzaj

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

MIKROELEKTRONIKA [gr.], dział. elektroniki zajmujący się działaniem, konstrukcją Fifth i technologią Level układów scalonych.

MIKROELEKTRONIKA [gr.], dział. elektroniki zajmujący się działaniem, konstrukcją Fifth i technologią Level układów scalonych. Click Co to to jest edit mikroelektronika Master title style Click to edit Master text styles Second Level MIKROELEKTRONIKA [gr.], dział Third Level elektroniki zajmujący się działaniem, Fourth Level konstrukcją

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: CYFROWE UKŁADY STEROWANIA DIGITAL CONTROL SYSTEMS Kierunek: MECHATRONIKA Rodzaj przedmiotu: obowiązkowy na kierunku Mechatronika Rodzaj zajęć: wykład, laboratorium Forma studiów: stacjonarne

Bardziej szczegółowo

Zagadnienia optymalizacji Problems of optimization

Zagadnienia optymalizacji Problems of optimization KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 0/04 Zagadnienia optymalizacji Problems of optimization A. USYTUOWANIE MODUŁU W

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

Biblioteka Wydziału Elektrycznego Zachodniopomorskiego Uniwersytetu Technologicznego w Szczecinie NOWOŚCI WYDAWNICZE Maj 2015

Biblioteka Wydziału Elektrycznego Zachodniopomorskiego Uniwersytetu Technologicznego w Szczecinie NOWOŚCI WYDAWNICZE Maj 2015 Biblioteka Wydziału Elektrycznego Zachodniopomorskiego Uniwersytetu Technologicznego w Szczecinie NOWOŚCI WYDAWNICZE Maj 2015 Kontakt: tel.: (91) 449-52-20 e-mail: bibliotekawe@zut.edu.pl Autor: LAZAR

Bardziej szczegółowo

1 Programowanie urządzen mobilnych Sztuczna inteligencja i systemy 2 ekspertowe

1 Programowanie urządzen mobilnych Sztuczna inteligencja i systemy 2 ekspertowe SPECJALNOŚĆ: Programowanie Komputerów i Sieci Informatyczne Obowiązuje od roku akademickiego: 2007 / 2008 Przedmioty specjalnościowe oraz profili 1 Programowanie urządzen mobilnych 15 5 20 3 15 5 3 Sztuczna

Bardziej szczegółowo

Nazwa przedmiotu. Załącznik nr 1 do Uchwały nr 70/2016/2017 Rady Wydziału Elektrycznego Politechniki Częstochowskiej z dnia r.

Nazwa przedmiotu. Załącznik nr 1 do Uchwały nr 70/2016/2017 Rady Wydziału Elektrycznego Politechniki Częstochowskiej z dnia r. Plan studiów dla kierunku: INFORMATYKA Specjalności: Bezpieczeństwo sieciowych systemów informatycznych, Informatyka techniczna, Technologie internetowe i techniki multimedialne Ogółem Semestr 1 Semestr

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: KINEMATYKA I DYNAMIKA MANIPULATORÓW I ROBOTÓW Kierunek: Mechatronika Rodzaj przedmiotu: obowiązkowy na specjalności: Systemy sterowania Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU

Bardziej szczegółowo

SYNTEZA LOGICZNA W PROJEKTOWANIU UKŁADÓW CYFROWEGO PRZETWARZANIA SYGNAŁÓW

SYNTEZA LOGICZNA W PROJEKTOWANIU UKŁADÓW CYFROWEGO PRZETWARZANIA SYGNAŁÓW II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Lua skie SYNTEZA LOGICZNA W PROJEKTOWANIU UKŁADÓW CYFROWEGO PRZETWARZANIA SYGNAŁÓW Tadeusz Łua, Mariusz Rawski,

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Jednostki obliczeniowe w zastosowaniach mechatronicznych Kierunek: Mechatronika Rodzaj przedmiotu: dla specjalności Systemy Sterowania Rodzaj zajęć: Wykład, laboratorium Computational

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia(a).

Bardziej szczegółowo

Problemy i Zastosowania Informatyki

Problemy i Zastosowania Informatyki Problemy i Zastosowania Informatyki Sem. 2 Magisterskich Studiów Uzupełniających Wymiar wykład 18 h laboratorium 12 h (4 3h) Prowadzący wykład i laboratorium dr inż. Mariusz Szwoch szwoch@eti.pg.gda.pl

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Mechatronika Rodzaj przedmiotu: obowiązkowy na kierunku: Mechatronika Rodzaj zajęć: wykład, laboratorium PODSTAWY MECHATRONIKI Basis of mechatronics Forma studiów: stacjonarne

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: MODELOWANIE I SYMULACJA PROCESÓW WYTWARZANIA Modeling and Simulation of Manufacturing Processes Kierunek: Mechatronika Rodzaj przedmiotu: obowiązkowy specjalności PSM Rodzaj zajęć: wykład,

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia

Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia Wydział: Informatyki, Elektroniki i Telekomunikacji Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Rocznik: 2016/2017 Język wykładowy: Polski Semestr 1 IIN-1-103-s

Bardziej szczegółowo

Karta (sylabus) przedmiotu

Karta (sylabus) przedmiotu WM Karta (sylabus) przedmiotu MECHANIKA I BUDOWA MASZYN Studia I stopnia o profilu: A P Przedmiot: Wybrane z Kod ECTS Status przedmiotu: obowiązkowy MBM S 0 5 58-4_0 Język wykładowy: polski, angielski

Bardziej szczegółowo

Testowanie systemów informatycznych Kod przedmiotu

Testowanie systemów informatycznych Kod przedmiotu Testowanie systemów informatycznych - opis przedmiotu Informacje ogólne Nazwa przedmiotu Testowanie systemów informatycznych Kod przedmiotu 06.0-WI-INFP-TSI Wydział Kierunek Wydział Informatyki, Elektrotechniki

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Mechatronika MECHATRONIKA TECHNICZNA Technical mechatronics Forma studiów: stacjonarne Kod przedmiotu: A01 Rodzaj przedmiotu: obowiązkowy na kierunku: Mechatronika Rodzaj zajęd:

Bardziej szczegółowo

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1 Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E2T-09-s2 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable Logic

Bardziej szczegółowo

PROGNOZOWANIE W ZARZĄDZANIU

PROGNOZOWANIE W ZARZĄDZANIU Politechnika Białostocka Wydział Zarządzania Katedra Informatyki Gospodarczej i Logistyki Redaktor naukowy joanicjusz Nazarko PROGNOZOWANIE W ZARZĄDZANIU PRZEDSIĘBIORSTWEM Cz. III Prognozowanie na podstawie

Bardziej szczegółowo

Część 2. Funkcje logiczne układy kombinacyjne

Część 2. Funkcje logiczne układy kombinacyjne Część 2 Funkcje logiczne układy kombinacyjne Zapis funkcji logicznych układ funkcjonalnie pełny Arytmetyka Bool a najważniejsze aksjomaty i tożsamości Minimalizacja funkcji logicznych Układy kombinacyjne

Bardziej szczegółowo

kierunkowy (podstawowy / kierunkowy / inny HES) nieobowiązkowy (obowiązkowy / nieobowiązkowy) język polski III Semestr letni (semestr zimowy / letni)

kierunkowy (podstawowy / kierunkowy / inny HES) nieobowiązkowy (obowiązkowy / nieobowiązkowy) język polski III Semestr letni (semestr zimowy / letni) KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Układy elektroniczne w maszynach elektrycznych Nazwa modułu w języku angielskim

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: KOMPUTEROWE WSPOMAGANIE PROJEKTOWANIA IMPLANTÓW Kierunek: Inżynieria Biomedyczna Rodzaj przedmiotu: obowiązkowy moduł specjalności inżynieria rehabilitacyjna Rodzaj zajęć: wykład, laboratorium

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Kierunek: Mikroelektronika w technice i medycynie Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne.

Kierunek: Mikroelektronika w technice i medycynie Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Mikroelektronika w technice i medycynie Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Rocznik:

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Informatyka Rodzaj przedmiotu: obowiązkowy w ramach treści kierunkowych, moduł kierunkowy ogólny Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU PRZEWODNIK

Bardziej szczegółowo

Metodyki i techniki programowania

Metodyki i techniki programowania Metodyki i techniki programowania dr inż. Maciej Kusy Katedra Podstaw Elektroniki Wydział Elektrotechniki i Informatyki Politechnika Rzeszowska Elektronika i Telekomunikacja, sem. 2 Plan wykładu Sprawy

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: INTELIGENTNE SYSTEMY OBLICZENIOWE Systems Based on Computational Intelligence Kierunek: Inżynieria Biomedyczna Rodzaj przedmiotu: obowiązkowy moduł specjalności informatyka medyczna Rodzaj

Bardziej szczegółowo

Optymalizacja ciągła

Optymalizacja ciągła Optymalizacja ciągła 0. Wprowadzenie Wojciech Kotłowski Instytut Informatyki PP http://www.cs.put.poznan.pl/wkotlowski/ 28.02.2019 1 / 11 Kontakt wojciech.kotlowski@cs.put.poznan.pl http://www.cs.put.poznan.pl/wkotlowski/mp/

Bardziej szczegółowo

Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia

Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne. Wykład Ćwiczenia Wydział: Informatyki, Elektroniki i Telekomunikacji Kierunek: Informatyka Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Rocznik: 217/218 Język wykładowy: Polski Semestr 1 IIN-1-13-s

Bardziej szczegółowo

Politechnika Krakowska im. Tadeusza Kościuszki. Karta przedmiotu. obowiązuje studentów rozpoczynających studia w roku akademickim 2014/2015

Politechnika Krakowska im. Tadeusza Kościuszki. Karta przedmiotu. obowiązuje studentów rozpoczynających studia w roku akademickim 2014/2015 Politechnika Krakowska im. Tadeusza Kościuszki Karta przedmiotu Wydział Inżynierii Lądowej obowiązuje studentów rozpoczynających studia w roku akademickim 014/015 Kierunek studiów: Transport Forma sudiów:

Bardziej szczegółowo

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR

Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR BIULETYN WAT VOL. LVI, NR 3, 2007 Synteza logiczna dla struktur CPLD typu PAL wykorzystująca elementy XOR DARIUSZ KANIA*, WALDEMAR GRABIEC *Politechnika Śląska, Wydział Automatyki, Elektroniki i Informatyki,

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Mechanika i Budowa Maszyn Rodzaj przedmiotu: obowiązkowy na specjalności Inżynieria cieplna i samochodowa Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo