METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

Wielkość: px
Rozpocząć pokaz od strony:

Download "METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH"

Transkrypt

1 METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet Zielonogórski 1. WSTĘP W ciągu ostatnich lat nastąpił gwałtowny rozwój elektroniki i informatyki. Przyczyniło się on do projektowania coraz bardziej złożonych i skomplikowanych układów cyfrowych. Wraz ze złożonością wykonywanych układów coraz większą rolę w ścieżce projektowej zaczęły odgrywać języki opisu sprzętu. Chociaż zastosowanie tych języków umożliwia zaprojektowanie wyspecyfikowanych procesorów, sterowników oraz różnego zastosowania układów cyfrowych, nie są one w stanie wyprzeć klasycznych rozwiązań, do których można zaliczyć mikroprocesor (np. 8051, 80286) wraz z oprogramowaniem. Coraz częściej jednak języki opisu sprzętu są wykorzystywane do tworzenia układów, które wspólnie z klasycznymi procesorami mają tworzyć większe systemy. Rozwiązanie takie przez długi czas wymagało jednak oddzielnego zaprojektowania układu oraz napisania programu dla mikroprocesora. Ostatnio jednak pojawiły się na rynku układy scalone, które zawierają jednocześnie procesor, jak i bloki konfigurowalne ASIC [1]. Sytuacja taka dotyczy zarówno układów programowalnych maską jak i układów PLD lub FPGA [2]. 2. KLASYCZNA ŚCIEŻKA PROJEKTOWANIA ZINTEGROWANEGO W przypadku klasycznego podejścia do projektowanego sytemu, budowę takiego systemu opierano na mikroprocesorze oraz układzie konfigurowalnym. W zależności od przeznaczenia i złożoności systemu wykorzystywane były układy programowane maską lub FPGA. Mikroprocesor i układ programowalny niezależnie komunikowały się z otoczeniem za pomocą ów ch, natomiast do komunikacji między sobą używały u wewnętrznego (rys. 1). Ponieważ rozwiązanie to wymagało zastosowania kilku układów scalonych, konieczne było również zaprojektowania płytki drukowanej. System taki wymagał więc zastosowania technologii System-On-Board (SoB).

2 SoB ASIC wewnętrzny µp Rys. 1. Schemat blokowy systemu sprzętowo-programowego Podczas realizacji takiego sytemu we wstępnej fazie projektowania należało dokonać podziału, jaka część systemu będzie realizowana przez specyfikowany układ cyfrowy a jaka przez program procesora (rys. 2). Części te były następnie niezależnie realizowane przez osobne zespoły, a dopiero po zakończeniu obu ścieżek projektowych przystępowano do realizacji prototypu układu i ostatecznej weryfikacji. CZĘŚĆ SPRZĘTOWA układu systemu Zadanie 2. Podział zadań Symulacja prototypu Zadanie 2. Zadanie 4. Testowanie programu CZĘŚĆ PROGRAMOWA Rys. 2. Ścieżka projektowa systemu sprzętowo-programowego Rozwiązanie takie nie zawsze dawało optymalne efekty, gdyż podział zadań we wczesnej fazie projektowej nie zawsze musiał być dokonany poprawnie. Jednocześnie było ono dość kosztowne gdyż wymagało realizacji prototypu w celu weryfikacji komunikacji pomiędzy częścią sprzętową a programową. Jednocześnie testowanie prototypu nie dawało możliwości debugowania, co w przypadku wykrycia błędnego działania systemu nie pozwalało na jednoznaczne ustalenie gdzie tkwi błąd. 3. ŚCIEŻKA PROJEKTOW Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Mając na celu skrócenie czasu i ułatwienie projektowania systemów cyfrowych, producenci układów ASIC opracowali układy z osadzonymi (ang. embedded)

3 mikroprocesorami (rys. 3). Ze względu na różne zastosowania układów programowalnych maską jak i układów FPGA rozwiązania te różnią się między sobą, jednak umożliwiają one zastosowanie tej samej ścieżki projektowej. Najczęściej wykorzystywanymi procesorami wraz z układami programowalnymi maską są 32-bitowe procesory ARM [3] i MIPS [4] o architekturze RISC. Procesory te mogą być umieszczone w układach ASIC niezależnie od ich producenta, gdyż zostały zaprojektowane przez odrębne firmy. W przypadku układów FPGA sytuacja jest nieco odmienna. W tym przypadku to producenci układów FPGA opracowują mikroprocesory, które będą wykorzystywane tylko w jego układach FPGA. W tej dziedzinie prekursorem na rynku jest firma Atmel, która zaprojektowała 8-bitowy mikroprocesor AVR [5], również o architekturze RISC. SoC ASIC µp Rys. 3. Schemat układu scalonego z osadzonym procesorem Przy zastosowaniu takiego układu zauważa się dużo większy związek pomiędzy obiema ścieżkami projektowymi w trakcie realizacji systemu (rys. 4). W sytuacji takiej podział zadań podczas projektowania układu, pomiędzy części sprzętową i programową, jest traktowany jedynie jako propozycja i może zostać zmieniony w trakcie realizacji systemu. CZĘŚĆ SPRZĘTOWA układu systemu Zadanie 2. Podział zadań Modyfikacja podziału zadań koweryfikacja prototypu Zadanie 2 Zadanie 4 programu CZĘŚĆ PROGRAMOWA Rys. 4. Ścieżka projektowa systemu z wykorzystaniem układu z osadzonym procesorem

4 W rozwiązaniu tym na uwagę również zasługuje fakt, że wspólna weryfikacja części sprzętowej i programowej następuje jeszcze przed wykonaniem prototypu układu, co znacznie skraca czas testowania, jaki i koszty realizacji systemu. Bardzo ważnym faktem jest to, że w trakcie ko-weryfikacji można przeprowadzić debugowanie zarówno części sprzętowej jak i programu. Sytuacja taka umożliwia bardzo szybkie wykrycie, w którym miejscu występuje błąd i natychmiastowe jego usunięcie. Ponieważ rozwiązanie to jest realizowane w technologii System-On-Chip (SoC), znacznemu uproszczeniu ulega płytka drukowana, na której zostanie umieszczony projektowany system. Jednocześnie prędkość działania takiego układu może ulec zwiększeniu. Rozwiązanie takie wymaga jednak innego podejścia projektantów do realizowanego zadania. W klasycznym rozwiązaniu obie ścieżki były realizowane niezależnie w tym przypadku tak nie jest. Powoduje to, że oba zespoły (realizujące program i sprzęt) muszą ze sobą ściśle współpracować. Jednocześnie wymaga to od nich lepszej znajomości sposobów realizacji zadań drugiego zespołu. Tak, więc inżynierowie pracujący w takich zespołach muszą posiadać wszechstronną wiedzę, gdyż wraz z zanikiem podziału na dwie ścieżki projektowe zaczyna zanikać podział na programistów i projektantów sprzętu. 4. REALIZACJA PROGRAMOWALNEGO STEROWNIKA LOGICZNEGO W układzie porgramowalnego sterownika logicznego zastosowano dwuprocesorową budowę master-slave. Slave przetwarza dane według algorytmów zapisanych w formie bloków funkcyjnych zgodnych z normą IEC [6], natomiast master steruje przepływem danych i inicjuje procesor slave do wykonywania obliczeń. Ponieważ master jest układem specjalizowanym, zdecydowano się na jego realizację z wykorzystaniem struktury programowalnej FPGA. Natomiast w przypadku procesora slave zastosowanie układu FPGA wydawało się nieekonomiczne, ponieważ do realizacji każdego bloku funkcyjnego wymagane jest wykorzystanie kilku bloków logicznych układu FPGA. W sytuacji, gdy slave realizuje tylko jedną funkcje w danym momencie, zdecydowano się na napisanie programu realizującego bloki funkcyjne i wykorzystanie mikroprocesora do realizacji slavea. Układ sterownika został zrealizowany na dwa, niezależne sposoby. W pierwszym podejściu (rys. 5a) zdecydowano się na zastosowanie układu FPGA XC4005 firmy Xilinx do realizacji procesora master oraz mikroprocesora 8051 do realizacji procesora slave. W drugim podejściu (rys 5b) zdecydowano się natomiast na zastosowanie układu FPGA AT94K40 z osadzonym mikroprocesorem AVR firmy Atmel. Po zrealizowaniu obu sterowników systemy posiadały zbliżone parametry czasowe, jednak realizacja pierwszego układu wymagała znacznie większych nakładów czasu. W układzie tym najwięcej problemów stworzyła realizacja u do przesyłania danych pomiędzy masterem i slavem. Interfejs ten musiał być testowany dopiero na prototypie, co znacznie utrudniało wykrycie miejsca, w którym występuje błąd w kodzie źródłowym, a wprowadzenie jakichkolwiek zmian wymagało przeprogramowywania układów.

5 XC master wewnętrzny slave Wejścia Wyjścia a) Sterownik realizowany w technologii System-On-Board AT94K40 AVR master slave Wejścia Wyjścia b) Sterownik realizowany w technologii System-On-Chip Rys. 5. Schemat programowalnego sterownika logicznego W przypadku drugiego systemu, dzięki dostarczonemu oprogramowaniu przez firmę Atmel, możliwa była ko-symulacja kodu procesora master napisanego w VHDL u oraz kodu procesora slave napisanego w asemblerze porcesora AVR. Ponieważ oprogramowanie to dawało możliwość debugowania obu kodów wykrycie miejsca, w którym występuje błąd było dużo łatwiejsze. Dodatkowo pisanie programu dla procesora AVR zostało ułatwione poprzez możliwość użycia specjalnego u umożliwiającego wymianę danych pomiędzy procesorem a strukturą FPGA. Udogodnienia te spowodowały, że czas realizacji drugiego układu był prawi o połowę krótszy. 5. PODSUMOWANIE W referacie przedstawiono sposób realizacji systemów cyfrowych z wykorzystaniem układów reprogramowalnych z osadzonym procesorem oraz ukazano przewagę tego rozwiązania nad standardowym, wykorzystującym osobne układy dla mikroprocesora oraz struktury reprogramowalnej. Podkreślono również dużą przewagę ko-weryfikacji nad weryfikacja z wykorzystaniem prototypu. Przedstawiona technologia została opracowana w ramach prac Koła Naukowego fantasic. Do prac zostały wykorzystane płytki demonstracyjne firmy Atmel z układem AT94K40 oraz firmy Xilinx z układem z układem XC4005EPC84. Dalsze prace będą miały na celu zastosowanie tego lub podobnych układów w rzeczywistych systemach cyfrowych.

6 LITERATURA I MATERIAŁY 1. W. Majewski, Układy logiczne, Oficyna Wydawnicza Politechniki Warszawskiej, Warszawa, T. Łuba, K. Jasiński, B. Zbierzchowski, Specjalizowane układy cyfrowe w strukturach PLD i FPGA, Wydawnictwa Komunikacji i Łączności, Warszawa, International Electrotechnical Commission, International standard IEC , Programmable Controllers, Part 3: Programming Languages, Geneva, 1992

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Arkadiusz Bukowiec 1 Radosław Gąsiorek 2 Agnieszka Węgrzyn 3 Prezentowany referat przedstawia ogólną koncepcję

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI

OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI Arkadiusz Bukowiec Roman Drożdżyński mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki,

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Szybkie prototypowanie w projektowaniu mechatronicznym

Szybkie prototypowanie w projektowaniu mechatronicznym Szybkie prototypowanie w projektowaniu mechatronicznym Systemy wbudowane (Embedded Systems) Systemy wbudowane (ang. Embedded Systems) są to dedykowane architektury komputerowe, które są integralną częścią

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Systemy mikroprocesorowe i układy programowalne

Systemy mikroprocesorowe i układy programowalne Grupa bloków Systemy mikroprocesorowe i układy programowalne Katedra Mikroelektroniki i Technik Informatycznych Obszar zagadnień sprzęt sprzęt mikroprocesory SoC, systemy mobilne procesory sygnałowe mikrokontrolery

Bardziej szczegółowo

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1 Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E2T-09-s2 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable Logic

Bardziej szczegółowo

MIKROPROCESOROWE UKŁADY STEROWANIA

MIKROPROCESOROWE UKŁADY STEROWANIA Mikroprocesorowe Układy Sterowania MIKROPROCESOROWE UKŁADY STEROWANIA Prowadzący: dr inż. Paweł Szczepankowski e-mail: pszczep@ely.pg.gda.pl telefon: 58 3471139 WYKŁAD 1. Warsztat pracy inżyniera MUS narzędzia

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Większe możliwości dzięki LabVIEW 2009: programowanie równoległe, technologie bezprzewodowe i funkcje matematyczne w systemach czasu rzeczywistego

Większe możliwości dzięki LabVIEW 2009: programowanie równoległe, technologie bezprzewodowe i funkcje matematyczne w systemach czasu rzeczywistego Większe możliwości dzięki LabVIEW 2009: programowanie równoległe, technologie bezprzewodowe i funkcje matematyczne w systemach czasu rzeczywistego Dziś bardziej niż kiedykolwiek narzędzia używane przez

Bardziej szczegółowo

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów Studia Podyplomowe INFORMATYKA Architektura komputerów Wykład I Podstawowe pojęcia 1, Cyfrowe dane 2 Wewnątrz komputera informacja ma postać fizycznych sygnałów dwuwartościowych (np. dwa poziomy napięcia,

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Elektronika i telekomunikacja rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Grupa bloków

Bardziej szczegółowo

E-E-A-1008-s6. Sterowniki PLC. Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES)

E-E-A-1008-s6. Sterowniki PLC. Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E-A-1008-s6 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Urządzenia automatyki przemysłowej Kod przedmiotu

Urządzenia automatyki przemysłowej Kod przedmiotu Urządzenia automatyki przemysłowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Urządzenia automatyki przemysłowej Kod przedmiotu 06.0-WE-AiRP-UAP Wydział Kierunek Wydział Informatyki, Elektrotechniki

Bardziej szczegółowo

Sterowniki programowalne Programmable Controllers. Energetyka I stopień Ogólnoakademicki. przedmiot kierunkowy

Sterowniki programowalne Programmable Controllers. Energetyka I stopień Ogólnoakademicki. przedmiot kierunkowy Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Sterowniki programowalne Programmable Controllers

Bardziej szczegółowo

Opis efektów kształcenia dla modułu zajęć

Opis efektów kształcenia dla modułu zajęć Nazwa modułu: Programowanie mikrokontroleroẃ i mikroprocesoroẃ Rok akademicki: 2017/2018 Kod: EIT-1-408-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek:

Bardziej szczegółowo

Testowanie systemów informatycznych Kod przedmiotu

Testowanie systemów informatycznych Kod przedmiotu Testowanie systemów informatycznych - opis przedmiotu Informacje ogólne Nazwa przedmiotu Testowanie systemów informatycznych Kod przedmiotu 06.0-WI-INFP-TSI Wydział Kierunek Wydział Informatyki, Elektrotechniki

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Nazwa modułu: Technika mikroprocesorowa Rok akademicki: 2013/2014 Kod: EEL-1-616-s Punkty ECTS: 2 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika Specjalność:

Bardziej szczegółowo

REALIZACJA KONTROLERÓW

REALIZACJA KONTROLERÓW Uniwersytet Zielonogórski Wydział Elektrotechniki, Informatyki i Telekomunikacji PRACA MAGISTERSKA REALIZACJA KONTROLERÓW O PODWYŻSZONYM STOPNIU BEZPIECZEŃSTWA W FPGA O ARCHITEKTURZE Z WBUDOWANYMI PROCESORAMI

Bardziej szczegółowo

Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES)

Elektrotechnika I stopień Ogólno akademicki. kierunkowy (podstawowy / kierunkowy / inny HES) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

KARTA PRZEDMIOTU. Techniki przetwarzania sygnałów, D1_3

KARTA PRZEDMIOTU. Techniki przetwarzania sygnałów, D1_3 KARTA PRZEDMIOTU 1. Informacje ogólne Nazwa przedmiotu i kod (wg planu studiów): Nazwa przedmiotu (j. ang.): Kierunek studiów: Specjalność/specjalizacja: Poziom kształcenia: Profil kształcenia: Forma studiów:

Bardziej szczegółowo

Wykład Mikrokontrolery i mikrosystemy Cele wykładu:

Wykład Mikrokontrolery i mikrosystemy Cele wykładu: Wykład Mikrokontrolery i mikrosystemy Cele wykładu: Poznanie podstaw budowy, zasad działania i sterowania mikrokontrolerów i ich urządzeń peryferyjnych. Niezbędna wiedza do dalszego samokształcenia się

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: PROGRAMOWANIE SYSTEMÓW WBUDOWANYCH Kierunek: Informatyka Rodzaj przedmiotu: moduł specjalności obowiązkowy: Inżynieria o Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Jednostki obliczeniowe w zastosowaniach mechatronicznych Kierunek: Mechatronika Rodzaj przedmiotu: dla specjalności Systemy Sterowania Rodzaj zajęć: Wykład, laboratorium Computational

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Mechatronika Rodzaj przedmiotu: obowiązkowy na specjalności: Systemy sterowania Rodzaj zajęć: Projekt I KARTA PRZEDMIOTU CEL PRZEDMIOTU PROJEKT INŻYNIERSKI Engineer s project

Bardziej szczegółowo

E-4EZA1-10-s7. Sterowniki PLC

E-4EZA1-10-s7. Sterowniki PLC Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-4EZA1-10-s7 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable

Bardziej szczegółowo

BEZAWARYJNE MECHANIZMY WSPOMAGANIA WSPÓŁCZESNYCH ROZWIĄZAŃ SPRZĘTOWYCH

BEZAWARYJNE MECHANIZMY WSPOMAGANIA WSPÓŁCZESNYCH ROZWIĄZAŃ SPRZĘTOWYCH BEZAWARYJNE MECHANIZMY WSPOMAGANIA WSPÓŁCZESNYCH ROZWIĄZAŃ SPRZĘTOWYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie Marek Sałamaj, Piotr Bubacz

Bardziej szczegółowo

Zaawansowane technologie w nowoczesnych układach sterowania

Zaawansowane technologie w nowoczesnych układach sterowania Zaawansowane technologie w nowoczesnych układach sterowania Leszek A. Szałek Cito Systems, Inc. 3940 Freedom Circle, Santa Clara, CA 95054, USA leszeks@citosys.com 1. Wstęp Postępujący rozwój technologii

Bardziej szczegółowo

mgr inż. Stefana Korolczuka

mgr inż. Stefana Korolczuka Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych Warszawa, 23 maja 2017 r. D z i e k a n a t Uprzejmie informuję, że na Wydziale Elektroniki i Technik Informacyjnych Politechniki Warszawskiej

Bardziej szczegółowo

Katedra Systemów Cyfrowego Przetwarzania Sygnałów

Katedra Systemów Cyfrowego Przetwarzania Sygnałów Katedra Systemów Cyfrowego Przetwarzania Sygnałów Proponowana specjalnośd I stopnia (inżynierska) dr inż. Wiesław Madej Pok 325A Informatyka Specjalnośd: Programowanie Systemów Automatyki Programowanie

Bardziej szczegółowo

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i

Bardziej szczegółowo

Zał nr 4 do ZW. Dla grupy kursów zaznaczyć kurs końcowy. Liczba punktów ECTS charakterze praktycznym (P)

Zał nr 4 do ZW. Dla grupy kursów zaznaczyć kurs końcowy. Liczba punktów ECTS charakterze praktycznym (P) Zał nr 4 do ZW WYDZIAŁ PODSTAWOWYCH PROBLEMÓW TECHNIKI KARTA PRZEDMIOTU Nazwa w języku polskim : Systemy Wbudowane Nazwa w języku angielskim : Embedded Systems Kierunek studiów : Informatyka Specjalność

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Zakład Układów Elektronicznych i Termografii (www.thermo.p.lodz.pl) Prezentacja bloków i przedmiotów wybieralnych

Zakład Układów Elektronicznych i Termografii (www.thermo.p.lodz.pl) Prezentacja bloków i przedmiotów wybieralnych Zakład Układów Elektronicznych i Termografii (www.thermo.p.lodz.pl) Prezentacja bloków i przedmiotów wybieralnych Łódź, 21 kwietnia 2010r. Projektowanie układów analogowych i impulsowych Projektowanie

Bardziej szczegółowo

DOKUMENTACJA PROJEKTU

DOKUMENTACJA PROJEKTU Warszawa, dn. 16.12.2015r. Student: Artur Tynecki (E.EIM) atynecki@stud.elka.pw.edu.pl Prowadzący: dr inż. Mariusz Jarosław Suchenek DOKUMENTACJA PROJEKTU Projekt wykonany w ramach przedmiotu Mikrokontrolery

Bardziej szczegółowo

PROJEKT WSPÓŁFINANSOWANY ZE ŚRODKÓW UNII EUROPEJSKIEJ W RAMACH EUROPEJSKIEGO FUNDUSZU SPOŁECZNEGO OPIS PRZEDMIOTU. Sieci i sterowniki przemysłowe

PROJEKT WSPÓŁFINANSOWANY ZE ŚRODKÓW UNII EUROPEJSKIEJ W RAMACH EUROPEJSKIEGO FUNDUSZU SPOŁECZNEGO OPIS PRZEDMIOTU. Sieci i sterowniki przemysłowe OPIS PRZEDMIOTU Nazwa przedmiotu Kod przedmiotu Sieci i sterowniki przemysłowe Wydział Instytut/Katedra Kierunek Specjalizacja/specjalność Wydział Matematyki, Fizyki i Techniki Instytut Mechaniki i Informatyki

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Architektura komputerów II - opis przedmiotu

Architektura komputerów II - opis przedmiotu Architektura komputerów II - opis przedmiotu Informacje ogólne Nazwa przedmiotu Architektura komputerów II Kod przedmiotu 11.3-WI-INFP-AK-II Wydział Kierunek Wydział Informatyki, Elektrotechniki i Automatyki

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Języki opisu sprzętu Rok akademicki: 2013/2014 Kod: JIS-1-015-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Kierunek: Informatyka Stosowana Specjalność: - Poziom studiów: Studia

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Podstawy Projektowania Przyrządów Wirtualnych. Wykład 9. Wprowadzenie do standardu magistrali VMEbus. mgr inż. Paweł Kogut

Podstawy Projektowania Przyrządów Wirtualnych. Wykład 9. Wprowadzenie do standardu magistrali VMEbus. mgr inż. Paweł Kogut Podstawy Projektowania Przyrządów Wirtualnych Wykład 9 Wprowadzenie do standardu magistrali VMEbus mgr inż. Paweł Kogut VMEbus VMEbus (Versa Module Eurocard bus) jest to standard magistrali komputerowej

Bardziej szczegółowo

Technika mikroprocesorowa

Technika mikroprocesorowa Technika mikroprocesorowa zajmuje się przetwarzaniem danych w oparciu o cyfrowe programowalne układy scalone. Systemy przetwarzające dane w oparciu o takie układy nazywane są systemami mikroprocesorowymi

Bardziej szczegółowo

Procesory w FPGA H D L. dr inż. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska

Procesory w FPGA H D L. dr inż. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska Procesory w FPGA 1 System w FPGA SOPC - System on a Programmable Chip System mikroprocesorowy w układzie programowalnym: softprocesor zrealizowany w logice układu FPGA NIOS2 Altera Microblaze Xilinx OpenRISC

Bardziej szczegółowo

Wizualizacja stanu czujników robota mobilnego. Sprawozdanie z wykonania projektu.

Wizualizacja stanu czujników robota mobilnego. Sprawozdanie z wykonania projektu. Wizualizacja stanu czujników robota mobilnego. Sprawozdanie z wykonania projektu. Maciek Słomka 4 czerwca 2006 1 Celprojektu. Celem projektu było zbudowanie modułu umożliwiającego wizualizację stanu czujników

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Informatyka Rodzaj przedmiotu: obowiązkowy w ramach treści kierunkowych, moduł kierunkowy ogólny Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU PRZEWODNIK

Bardziej szczegółowo

Technika mikroprocesorowa. W. Daca, Politechnika Szczecińska, Wydział Elektryczny, 2007/08

Technika mikroprocesorowa. W. Daca, Politechnika Szczecińska, Wydział Elektryczny, 2007/08 Mikrokontrolery 16-bitowe Oferowane obecnie na rynku mikrokontrolery 16-bitowe opracowane zostały pomiędzy połowa lat 80-tych a początkiem lat 90-tych. Ich powstanie było naturalną konsekwencją ograniczeń

Bardziej szczegółowo

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć Systemy Wbudowane Kod przedmiotu: SW Rodzaj przedmiotu: kierunkowy ; obowiązkowy Wydział: Informatyki Kierunek: Informatyka Specjalność (specjalizacja): - Poziom studiów: pierwszego stopnia Profil studiów:

Bardziej szczegółowo

Elektrotechnika I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny) niestacjonarne (stacjonarne / niestacjonarne)

Elektrotechnika I stopień (I stopień / II stopień) ogólnoakademicki (ogólno akademicki / praktyczny) niestacjonarne (stacjonarne / niestacjonarne) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Technika mikroprocesorowa 2 Nazwa modułu w języku angielskim Microprocessor

Bardziej szczegółowo

Prezentacja specjalności Inżynieria Systemów Informatycznych

Prezentacja specjalności Inżynieria Systemów Informatycznych Prezentacja specjalności Inżynieria Systemów Informatycznych Kierownik specjalności: Prof. nzw. Marzena Kryszkiewicz Konsultacje: piątek, 16:15-17:45, pok. 318 Sylwetka absolwenta: inżynier umiejętności

Bardziej szczegółowo

Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń. Instrukcja do ćwiczenia nr 10. Transmisja szeregowa sieciami energetycznymi

Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń. Instrukcja do ćwiczenia nr 10. Transmisja szeregowa sieciami energetycznymi Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń Instrukcja do ćwiczenia nr 10 Transmisja szeregowa sieciami energetycznymi I. Cel ćwiczenia poznanie praktycznego wykorzystania standardu RS232C

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Wykład Mikroprocesory i kontrolery

Wykład Mikroprocesory i kontrolery Wykład Mikroprocesory i kontrolery Cele wykładu: Poznanie podstaw budowy, zasad działania mikroprocesorów i układów z nimi współpracujących. Podstawowa wiedza potrzebna do dalszego kształcenia się w technice

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Mikrokontrolery w mechatronice. Wstępne uwagi

Mikrokontrolery w mechatronice. Wstępne uwagi Mikrokontrolery w mechatronice Wstępne uwagi Wstępny program wykładu: Układy sterowania;układy programowalne. System binarny i heksadecymalny. Mikroprocesor i mikrokontroler - podobieństwa i różnice. Charakterystyka

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Opisy efektów kształcenia dla modułu

Opisy efektów kształcenia dla modułu Karta modułu - Języki opisu sprzętu 1 / 8 Nazwa modułu: Języki opisu sprzętu Rocznik: 2012/2013 Kod: JIS-1-013-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Poziom studiów: Studia I stopnia

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Technologie informacyjne - wykład 12 -

Technologie informacyjne - wykład 12 - Zakład Fizyki Budowli i Komputerowych Metod Projektowania Instytut Budownictwa Wydział Budownictwa Lądowego i Wodnego Politechnika Wrocławska Technologie informacyjne - wykład 12 - Prowadzący: Dmochowski

Bardziej szczegółowo

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Politechnika Śląska w Gliwicach Wydział Automatyki Elektroniki i Informatyki Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Promotor dr inż. Jacek Loska Wojciech Klimeczko

Bardziej szczegółowo

Technologie cyfrowe. Artur Kalinowski. Zakład Cząstek i Oddziaływań Fundamentalnych Pasteura 5, pokój 4.15

Technologie cyfrowe. Artur Kalinowski. Zakład Cząstek i Oddziaływań Fundamentalnych Pasteura 5, pokój 4.15 Technologie cyfrowe Artur Kalinowski Zakład Cząstek i Oddziaływań Fundamentalnych Pasteura 5, pokój 4.15 Artur.Kalinowski@fuw.edu.pl Semestr letni 2014/2015 Zadanie algorytmiczne: wyszukiwanie dane wejściowe:

Bardziej szczegółowo

WYKORZYSTANIE UKŁADÓW REKONFIGUROWALNYCH W REGULACJI ADAPTACYJNEJ

WYKORZYSTANIE UKŁADÓW REKONFIGUROWALNYCH W REGULACJI ADAPTACYJNEJ WYKORZYSTANIE UKŁADÓW REKONFIGUROWALNYCH W REGULACJI ADAPTACYJNEJ Piotr Bubacz Instytut Informatyki i Elektroniki, Uniwersytet Zielonogórski 65-246 Zielona Góra, ul. Podgórna 50 e-mail: P.Bubacz@iie.uz.zgora.pl

Bardziej szczegółowo

PRUS. projekt dokumentacja końcowa

PRUS. projekt dokumentacja końcowa Adrian Antoniewicz Marcin Dudek Mateusz Manowiecki 17.01.2007 PRUS projekt dokumentacja końcowa Temat: Układ zdalnego sterowania (za pomocą interfejsu RS-232) wyświetlaczem LCD. Spis treści: 1. 2. 3. 4.

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

Architektura Komputerów

Architektura Komputerów Architektura Komputerów - kto prowadzi? dr inż. Rafał Klaus rafal.klaus@cs.put.poznan.pl gabinet 421 Instytut Informatyki Politechnika Poznańska Architektura komputerów czego się możesz spodziewać? Budowa

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

JĘZYKI PROGRAMOWANIA STEROWNIKÓW

JĘZYKI PROGRAMOWANIA STEROWNIKÓW JĘZYKI PROGRAMOWANIA STEROWNIKÓW dr inż. Wiesław Madej Wstęp Języki programowania sterowników 15 h wykład 15 h dwiczenia Konsultacje: - pokój 325A - środa 11 14 - piątek 11-14 Literatura Tadeusz Legierski,

Bardziej szczegółowo

Architektury akceleratorów kryptograficznych opartych o układy programowalne. Marcin Rogawski

Architektury akceleratorów kryptograficznych opartych o układy programowalne. Marcin Rogawski Architektury akceleratorów kryptograficznych opartych o układy programowalne. Marcin Rogawski rogawskim@prokom.pl Plan referatu: Budowa akceleratora kryptograficznego; Struktura programowalna element fizyczny;

Bardziej szczegółowo

ZL19PRG. Programator USB dla układów PLD firmy Altera

ZL19PRG. Programator USB dla układów PLD firmy Altera ZL19PRG Programator USB dla układów PLD firmy Altera Nowoczesny programator i konfigurator układów PLD produkowanych przez firmę Altera, w pełni zgodny ze standardem USB Blaster, dzięki czemu współpracuje

Bardziej szczegółowo

Wielokontekstowy sterownik programowalny przyszłości wykorzystujący układy programowalne psoc

Wielokontekstowy sterownik programowalny przyszłości wykorzystujący układy programowalne psoc Wielokontekstowy sterownik programowalny przyszłości wykorzystujący układy programowalne psoc Dariusz Kania* Celem artykułu jest przedstawienie koncepcji działania wielokontekstowego sterownika przemysłowego

Bardziej szczegółowo

Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA. Autor: Daniel Słowik

Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA. Autor: Daniel Słowik Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA Autor: Daniel Słowik Promotor: Dr inż. Daniel Kopiec Wrocław 016 Plan prezentacji Założenia i cel

Bardziej szczegółowo

PROGRAM KSZTAŁCENIA NA SPECJALNOŚCIACH

PROGRAM KSZTAŁCENIA NA SPECJALNOŚCIACH PROGRAM KSZTAŁCENIA NA SPECJALNOŚCIACH Informatyka (nazwa kierunku) 1. OGÓLNA CHARAKTERYSTYKA KIERUNKU STUDIÓW: Wydział/Instytut: Wydział Elektroniki i Informatyki Poziom kształcenia (studiów): studia

Bardziej szczegółowo

Prezentacja specjalności Inżynieria Systemów Informatycznych

Prezentacja specjalności Inżynieria Systemów Informatycznych Prezentacja specjalności Inżynieria Systemów Informatycznych Kierownik specjalności: Prof. nzw. Marzena Kryszkiewicz Konsultacje: piątek, 16:15-17:45, pok. 318 Sylwetka absolwenta: inżynier umiejętności

Bardziej szczegółowo

Kierunek Elektrotechnika Specjalność: Automatyka i metrologia http://www.automatyka.p.lodz.pl/ http://www.metrol.p.lodz.pl/ 1/35 Wykształcenie wszechstronne nowoczesne dobrze rozpoznawalne na rynku pracy

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Kierunek: Informatyka Rodzaj przedmiotu: obowiązkowy w ramach treści kierunkowych, moduł kierunkowy ogólny Rodzaj zajęć: wykład, laboratorium I KARTA PRZEDMIOTU CEL PRZEDMIOTU PRZEWODNIK

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Analiza i projektowanie oprogramowania. Analiza i projektowanie oprogramowania 1/32

Analiza i projektowanie oprogramowania. Analiza i projektowanie oprogramowania 1/32 Analiza i projektowanie oprogramowania Analiza i projektowanie oprogramowania 1/32 Analiza i projektowanie oprogramowania 2/32 Cel analizy Celem fazy określania wymagań jest udzielenie odpowiedzi na pytanie:

Bardziej szczegółowo

S P R A W O Z D A N I E T e m a t: Projektowanie układów realizujących złożone funkcje logiczne.

S P R A W O Z D A N I E T e m a t: Projektowanie układów realizujących złożone funkcje logiczne. LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH G r u p a: E3DO O c e n a Data wykonania Prowadzący ćwiczenie: ćwiczenia: dr inż. Zbigniew JACHNA 27.04.2006 Przemysław Data oddania Podpis:: PANKOWSKI

Bardziej szczegółowo

Kierunek Informatyka stosowana Studia stacjonarne Studia pierwszego stopnia

Kierunek Informatyka stosowana Studia stacjonarne Studia pierwszego stopnia Studia pierwszego stopnia I rok Matematyka dyskretna 30 30 Egzamin 5 Analiza matematyczna 30 30 Egzamin 5 Algebra liniowa 30 30 Egzamin 5 Statystyka i rachunek prawdopodobieństwa 30 30 Egzamin 5 Opracowywanie

Bardziej szczegółowo

Architektura mikroprocesorów TEO 2009/2010

Architektura mikroprocesorów TEO 2009/2010 Architektura mikroprocesorów TEO 2009/2010 Plan wykładów Wykład 1: - Wstęp. Klasyfikacje mikroprocesorów Wykład 2: - Mikrokontrolery 8-bit: AVR, PIC Wykład 3: - Mikrokontrolery 8-bit: 8051, ST7 Wykład

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

SCENARIUSZ LEKCJI. Streszczenie. Czas realizacji. Podstawa programowa

SCENARIUSZ LEKCJI. Streszczenie. Czas realizacji. Podstawa programowa Autorzy scenariusza: SCENARIUSZ LEKCJI OPRACOWANY W RAMACH PROJEKTU: INFORMATYKA MÓJ SPOSÓB NA POZNANIE I OPISANIE ŚWIATA. PROGRAM NAUCZANIA INFORMATYKI Z ELEMENTAMI PRZEDMIOTÓW MATEMATYCZNO-PRZYRODNICZYCH

Bardziej szczegółowo

Bibliografia: pl.wikipedia.org www.intel.com. Historia i rodzaje procesorów w firmy Intel

Bibliografia: pl.wikipedia.org www.intel.com. Historia i rodzaje procesorów w firmy Intel Bibliografia: pl.wikipedia.org www.intel.com Historia i rodzaje procesorów w firmy Intel Specyfikacja Lista mikroprocesorów produkowanych przez firmę Intel 4-bitowe 4004 4040 8-bitowe x86 IA-64 8008 8080

Bardziej szczegółowo

Metodyka projektowania komputerowych systemów sterowania

Metodyka projektowania komputerowych systemów sterowania Metodyka projektowania komputerowych systemów sterowania Andrzej URBANIAK Metodyka projektowania KSS (1) 1 Projektowanie KSS Analiza wymagań Opracowanie sprzętu Projektowanie systemu Opracowanie oprogramowania

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

KARTA PRZEDMIOTU. Egzamin / zaliczenie na ocenę*

KARTA PRZEDMIOTU. Egzamin / zaliczenie na ocenę* WYDZIAŁ PODSTAWOWYCH PROBLEMÓW TECHNIKI Zał. nr 4 do ZW 33/01 KARTA PRZEDMIOTU Nazwa w języku polskim: Mikroprocesory Nazwa w języku angielskim: Microprocessors Kierunek studiów (jeśli dotyczy): Optyka

Bardziej szczegółowo

Automatyka i Robotyka II stopień ogólnoakademicki studia niestacjonarne wszystkie Katedra Automatyki i Robotyki mgr inż.

Automatyka i Robotyka II stopień ogólnoakademicki studia niestacjonarne wszystkie Katedra Automatyki i Robotyki mgr inż. Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2013/2014

Bardziej szczegółowo

Programowanie niskopoziomowe. dr inż. Paweł Pełczyński ppelczynski@swspiz.pl

Programowanie niskopoziomowe. dr inż. Paweł Pełczyński ppelczynski@swspiz.pl Programowanie niskopoziomowe dr inż. Paweł Pełczyński ppelczynski@swspiz.pl 1 Literatura Randall Hyde: Asembler. Sztuka programowania, Helion, 2004. Eugeniusz Wróbel: Praktyczny kurs asemblera, Helion,

Bardziej szczegółowo

Technika mikroprocesorowa. Struktura programu użytkownika w systemie mikroprocesorowym

Technika mikroprocesorowa. Struktura programu użytkownika w systemie mikroprocesorowym Struktura programu użytkownika w systemie mikroprocesorowym start inicjalizacja niekończaca się pętla zadania niekrytyczne czasowo przerwania zadania krytyczne czasowo 1 Znaczenie problematyki programowania

Bardziej szczegółowo

KARTA PRZEDMIOTU. 1. Informacje ogólne. 2. Ogólna charakterystyka przedmiotu. Inżynieria oprogramowania, C12

KARTA PRZEDMIOTU. 1. Informacje ogólne. 2. Ogólna charakterystyka przedmiotu. Inżynieria oprogramowania, C12 KARTA PRZEDMIOTU 1. Informacje ogólne Nazwa przedmiotu i kod (wg planu studiów): Nazwa przedmiotu (j. ang.): Kierunek studiów: Specjalność/specjalizacja: Poziom kształcenia: Profil kształcenia: Forma studiów:

Bardziej szczegółowo