CIC-310 REALIZACJA PROJEKTÓW

Wielkość: px
Rozpocząć pokaz od strony:

Download "CIC-310 REALIZACJA PROJEKTÓW"

Transkrypt

1 LABORATORIUM TECHNIKA CYFROWA CIC-310 REALIZACJA PROJEKTÓW W FPGA Katedra Elektroniki AGH Kraków Rev.1.0

2 1. CEL CWICZENIA?? Umiejetnosc uruchomienia zaprojektowanych projektów ukladów cyfrowych na platformie CIC WYKORZYSTYWANY SPRZET, OPROGRAMOWANIE i MODELE?? Komputer osobisty z systemem Windows NT/2000/XP?? Oprogramowanie MAX+PLUS II v. 10.1?? Oprogramowania Active-HDL v 7.2 firmy ALDEC?? Biblioteka CIC_LIB dla Active-HDL?? Model CIC-310 z ukladem serii FLEX8000 firmy ALTERA?? Oprogramowanie konfigurujace uklady FLEX8000: DNLD82.exe System uruchomieniowy CIC-310 jest samodzielnym urzadzeniem cyfrowym zawierajacym wlasny zasilacz, uklad FPGA firmy Altera EPF8282ALC84 oraz sluzace do eksperymentów moduly takie jak: klawiatura matrycowa, wejscia logiczne DIP-SWITCH, wyswietlacze logiczne LED, wyswietlacze siedmiosegmentowe LED, matryce punktowa LED 5?7 oraz zródla impulsów i przebiegów zegarowych. 3. WYMAGANIA?? Znajomosc budowy i funkcji modulu CIC-310 [1] (w domu)?? Umiejetnosc realizacji ukladów cyfrowych przy pomocy programu Active-HDL [2] (na laboratorium). 4. MATERIALY ZRÓDLOWE [1] CIC-310 CPLD/FPGA Development System Manual ( [2] Wprowadzenie do laboratorium komputerowego ( 5. PRZYGOTOWANIE DO CWICZENIA Bezposrednio przed wykonaniem tego cwiczenia (na tych samych zajeciach laboratoryjnych) nalezy wykonac cwiczenie demonstrujace realizacje i symulacje w Active-HDL projektów cyfrowych [1]. 1. Nalezy przygotowac i zaproponowac sposób podlaczenia przedstawionego w cwiczeniu [1] licznika modulo 7 do elementów elektronicznych dostepnych na plycie CIC-310. Wypelnij tabele 1: KATEDRA ELEKTRONIKI AGH 2

3 Tabela 1 SYGNAL PIN FPGA (P01? P84) C CLR CE Q0 Q1 Q2 Q3 TC 2. Zaproponowac modyfikacje ukladu licznika z [1] tak aby jego realizacja byla mozliwa przy uzyciu elementu z rysunku 1. (sygnaly L i CLR aktywne stanem niskim) Rysunek 1 6. PRZEBIEG CWICZENIA Poszczególne punkty przedstawione ponizej maja prowadzic do uruchomienia ukladu licznika modulo 7 na platformie CIC Biblioteka cic_lib?? W oknie edytora schematów BDE (aplikacja: Active-HDL) z menu wybrac: View->Symbol Toolbox?? Z menu kontekstowego okna Symbol ToolBox (kliknac okno prawym przyciskiem myszy) wybrac Select Libraries?? Zaznaczyc biblioteke cic_lib KATEDRA ELEKTRONIKI AGH 3

4 6.1 Modyfikacja schematu licznika Rysunek 2?? W zrealizowanym w [1] projekcie zastapic uzyte elementy CB4CLE i bramki elementami z biblioteki cic_lib.?? Zmodyfikowac schemat tak aby uwzglednial funkcjonalnosc elementu CB4CLE z biblioteki cic_lib (polecenie 2 w punkcie 5) 6.2 Sygnal GND?? Ze schematu usunac symbol GND i zastapic go etykieta sygnalu o nazwie GND. W tym celu dwukrotnie kliknac przewód który ma byc polaczony do masy i wpisac nazwe GND w polu Segment (Rysunek 3) Rysunek 3 KATEDRA ELEKTRONIKI AGH 4

5 6.3 Plik eksportowy EDIF W celu praktycznej realizacji zaprojektowanego licznika nalezy wygenerowac jego liste polaczen w formacie EDIF.?? Z Design Browser (aplikacja AHDL) wybieramy plik licznik.bde?? Zmieniamy zadany format listy polaczen. Wybieramy z menu polecenie: Diagram->Set Target HDL i wybieramy EDIF (Rysunek 4). Rysunek 4?? W oknie Design Browser klikamy prawym przyciskiem myszy plik licznik.bde i z menu kontekstowego wybieramy Generate Code.?? Wygenerowany plik licznik.edn zapisujemy jako licznik.edf. w dowolnym wybranym katalogu. UWAGA. NIE ZMENIAMY NAZY LICZNIK NA INNA. ZMIENIAMY JEDYNIE NAZWE ROZSZERZENIA Z EDN NA EDF Aplikacja MAX+PLUS II Dalsza czesc cwiczenia przebiega przy wykorzystaniu aplikacji Altery MAX+PLUS II. Jest to darmowe kompletne srodowisko projektowania, symulacji i implementacji ukladów cyfrowych w ukladach rekonfigurowalnych firmy Altera. W ponizszym cwiczeniu wykorzystana zostanie jedynie mozliwosc implementacji przy pomocy MAX+PLUS II projektów opisanych w pliku w formatu EDIF.?? Uruchomic aplikacje MAX+PLUS II Menu START->Programy->Altera->Max+Plus II 10.1 KATEDRA ELEKTRONIKI AGH 5

6 Rysunek Wczytanie projektu licznika?? Otworzyc plik licznik.edf (Rysunek 6) Menu: File->Open Rysunek 6?? Wybrac plik licznik.edf jako aktywny projekt srodowiska MAX+PLUSII Menu: File->Project->Set Project to Current File KATEDRA ELEKTRONIKI AGH 6

7 ?? Wstepnie skompilowac projekt Menu: File->Projekt->Save&Check UWAGA. NALEZY SPRAWDZIC CZY W LOGU WYKONANYCH ZADAN NIE POJAWILY SIE BLEDY Ustawienie niezbednych opcji projektu Rysunek 7?? Wybranie docelowego ukladu FPGA EPF8282ALC84-2 Menu: Assign->Device Rysunek 8?? Ustawienie opcji konfiguracji Menu: Assign->Global Project Device Options o Wybrac Passive Serial w Configuration Scheme o Odznaczyc symbole? w polach Reserve i Tri-Stare KATEDRA ELEKTRONIKI AGH 7

8 Rysunek 9?? Tryb kompilacji projektu Menu: Assign->Global Project Logic Synthesis o Wybrac FAST w polu Global Project Synthesis Style Rysunek 10 KATEDRA ELEKTRONIKI AGH 8

9 6.7. Przypisanie koncówek FPGA?? Otworzyc okno edytora Floorplan Menu: Max+PlusII->Floorplan Editor o Menu: Layout->Device View o Menu: Layout->Current Assignment Floorplan Z pola Unassigned Nodes & Pins przy pomocy myszy przeciagnac niepolaczone sygnaly i umiescic je w odpowiedniej lokacji pinu na rysunku ukladu FPGA. Nalezy to zrobic zgodnie z przygotowana Tabela 1. UWAGA: NALEZY CIAGNAC IKONKE OBOK NAZWY PORTU, A NIE NAZWE PORTU 6.8. Kompilacja projektu Rysunek 11?? Menu: File->Project->Save & Compile UWAGA. NALEZY SPRAWDZIC CZY W LOGU WYKONANYCH ZADAN NIE POJAWILY SIE BLEDY. KATEDRA ELEKTRONIKI AGH 9

10 Rysunek Programowanie systemu uruchomieniowego CIC-310?? Podlaczyc system CIC-310 do komputera PC za pomoca kabla RS-232?? Wlaczyc zasilanie?? Uruchomic program DNLD82.exe?? W oknie Files wybrac plik licznik Rysunek 13 KATEDRA ELEKTRONIKI AGH 10

11 ?? Nacisnac przycisk Config Jezeli wszystko zakonczylo sie bezblednie pojawia sie okienko Ok. Rysunek 14?? Przetestowac dzialanie licznika modulo 7 na plycie CIC-310 NA SKRÓTY?? Realizacja projektów AHDL na platformie CIC-310 wymaga uzycia elementów z biblioteki cic_lib. Tylko te elementy sa rozpoznawane przez kompilator przeksztalcajacy schemat na siec polaczen matrycy FPGA.?? Polaczenia do zasilania i masy sa realizowane i rozpoznawane przez kompilator FPGA jako polaczenia o nazwach VCC i GND.?? Plikiem wejsciowym kompilator FPGA jest lista polaczen w formacie EDIF. Plik ten powinien miec nazwe identyczna z nazwa modulu nadrzednego projektu i rozszerzenie EDF.?? Plik EDIF nalezy otworzyc w przy pomocy oprogramowania MAX+Plus II?? Przy wczytywaniu projektów utworzonych przy pomocy AHDL i biblioteki cic_lib nalezy posluzyc sie plikiem mapowania elementów o nazwiecic310.lmf?? Tryb programowania CIC-310 to Passive Serial?? Do programowania CIC-310 na platformie Win2000/XP sluzy program DNLD82.exe KATEDRA ELEKTRONIKI AGH 11

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego

Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego LABORATORIUM TECHNIKI CYFROWEJ Projektowanie układów programowalnych w rodowisku MAX+plus II 10.1 BASELINE z wykorzystaniem edytora graficznego Opracowali: dr in. Krystyna Maria Noga mgr in. Rafał Sokół

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

ALGORYTM URUCHOMIENIA I OBSŁUGI PROGRAMU ACTIVE-HDL (zajęcia wprowadzające) Uruchomienie programu i utworzenie nowego projektu

ALGORYTM URUCHOMIENIA I OBSŁUGI PROGRAMU ACTIVE-HDL (zajęcia wprowadzające) Uruchomienie programu i utworzenie nowego projektu ALGORYTM URUCHOMIENIA I OBSŁUGI PROGRAMU ACTIVE-HDL (zajęcia wprowadzające) Uruchomienie programu i utworzenie nowego projektu 1. Uruchom program Active-HDL 6.1 2. Jeśli po otwarciu nie pojawi się automatycznie

Bardziej szczegółowo

Materiały dodatkowe. Simulink Real-Time

Materiały dodatkowe. Simulink Real-Time Katedra Inżynierii Systemów Sterowania Materiały dodatkowe Simulink Real-Time Opracowali: mgr inż. Tomasz Karla Data: Listopad, 2016 r. Wstęp Simulink Real-Time jest środowiskiem pozwalającym na tworzenie

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

Scalone układy programowalne FPGA.

Scalone układy programowalne FPGA. Scalone układy programowalne FPGA. (jd) Jacek Długopolski Katedra Informatyki AGH (v1.2) 1. Cel ćwiczenia Celem ćwiczenia jest zdobycie podstawowych wiadomości i umiejętności korzystania z oprogramowania

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

INSTRUKCJA UZUPEŁNIAJĄCA DO CENTRAL DUPLEX ZE STEROWANIEM RD4

INSTRUKCJA UZUPEŁNIAJĄCA DO CENTRAL DUPLEX ZE STEROWANIEM RD4 INSTRUKCJA UZUPEŁNIAJĄCA DO CENTRAL DUPLEX ZE STEROWANIEM RD4 Quatrovent Morska 242 Gdynia Tel. +48 58 3505995, fax +48 58 6613553 1 Spis treści I. Ustawienie orientacji wentylatorów...3 A. Za pomocą regulatora

Bardziej szczegółowo

Rozdzial 5 Ustawienia dla uzytkownika IAS, RAS

Rozdzial 5 Ustawienia dla uzytkownika IAS, RAS Rozdzial 5 Ustawienia dla uzytkownika IAS, RAS 1 Protokól TCP/IP musi byc zainstalowany na komputerze kazdego z uzytkowników IAS, RAS. Aby komputer mógl korzystac z IAS, RAS protokól TCP/IP musi byc zainstalowany

Bardziej szczegółowo

pomocą programu WinRar.

pomocą programu WinRar. 1. Pobieramy oprogramowanie dla kamery ze strony. Z menu produkty wybieramy swój model kamery. Następnie na podstronie produktu wybieramy zakładkę [POMOC] - klikamy symbol szarej strzałki aby rozpocząć

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Arkadiusz Pantoł MATERIAŁY POMOCNICZE DO KURSU TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Obsługa środowiska Quartus Prime może byd kłopotliwa, jeżeli chodzi o stworzenie samego projektu.

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Podstawy programowania w środowisku Totally Integration Automation Portal

Podstawy programowania w środowisku Totally Integration Automation Portal GRUPA MT Temat i Autor Podstawy programowania w środowisku Totally Integration Automation Portal Krzysztof Bodzek, Arkadiusz Domoracki, Grzegorz Jarek CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 9 REALIZACJA

Bardziej szczegółowo

Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232

Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232 Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232 Na przykładzie sterownika XLe SPIS TREŚCI Przygotowanie kabla łączącego sterownik z komputerem... 2 Konfiguracja ustawień

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Ćw. 0: Wprowadzenie do programu MultiSIM

Ćw. 0: Wprowadzenie do programu MultiSIM Ćw. 0: Wprowadzenie do programu MultiSIM Wstęp Celem ćwiczenia jest zapoznanie się z programem MultiSIM przeznaczonym do analiz i symulacji działania układów elektronicznych. Zaznajamianie się z tym programem

Bardziej szczegółowo

2. W oknie dialogowym Choose Toolbox Items w zakładce.net Framework Components naciskamy przycisk Browse...

2. W oknie dialogowym Choose Toolbox Items w zakładce.net Framework Components naciskamy przycisk Browse... KORZYSTANIE Z KONTROLKI.NET LENDEVICERS232 DODAWANIE KONTROLKI DO ZBIORU KOMPONENTÓW DOSTĘPNYCH W PALECIE TOOLBOX (ŚRODOWISKA PROGRAMISTYCZNE FIRMY MICROSOFT) W środowisku programistycznym (Visual C++,

Bardziej szczegółowo

Laboratorium Elektrycznych Systemów Inteligentnych

Laboratorium Elektrycznych Systemów Inteligentnych Laboratorium Elektrycznych Systemów Inteligentnych Ćwiczenie 19 Analiza pracy urządzeń KNX/EIB należących do odrębnych linii magistralnych Celem ćwiczenia jest przeprowadzenie i analiza pracy urządzeń

Bardziej szczegółowo

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu MMfpga01 MMfpga11 Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu 1 Spis treści 1. Instalacja aplikacji QUARTUS II Web Edition...3 2. Instalacja programu QUARTUS II Web

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od szczegółu do ogółu (bottom-up) przy użyciu pakietu CADENCE w technologii UMC 0.18µm

Projektowanie układów VLSI-ASIC techniką od szczegółu do ogółu (bottom-up) przy użyciu pakietu CADENCE w technologii UMC 0.18µm Laboratorium Projektowania Systemów Scalonych Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od szczegółu do ogółu (bottom-up) przy użyciu pakietu CADENCE

Bardziej szczegółowo

SIECI KOMPUTEROWE I TECHNOLOGIE INTERNETOWE

SIECI KOMPUTEROWE I TECHNOLOGIE INTERNETOWE SIECI KOMPUTEROWE I TECHNOLOGIE INTERNETOWE, AiR r. I, sem. II Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania SIECI KOMPUTEROWE I TECHNOLOGIE INTERNETOWE

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Jak przygotować pliki gotowe do publikacji w sieci za pomocą DigitLabu?

Jak przygotować pliki gotowe do publikacji w sieci za pomocą DigitLabu? Jak przygotować pliki gotowe do publikacji w sieci za pomocą DigitLabu? Po zainstalowaniu DigitLabu na komputerze otrzymujemy pakiet programów niezbędnych do przygotowania cyfrowych wersji obiektów tekstowych.

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

INSTRUKCJA PODŁĄCZENIA KAMERY IP SERII LV VSS

INSTRUKCJA PODŁĄCZENIA KAMERY IP SERII LV VSS INSTRUKCJA PODŁĄCZENIA KAMERY IP SERII LV VSS I. Podłączyć kablem internetowym UTP kamerę do sieci (przez router lub switch) aby ustawić parametry sieci lokalnej. Standardowy kabel internetowym UTP podłączyć

Bardziej szczegółowo

Cover sheet. WinCC (TIA Portal) FAQ Listopad 2012

Cover sheet. WinCC (TIA Portal) FAQ Listopad 2012 Cover sheet W jaki sposób migrować projekt zintegrowany ze STEP 7 z WinCC flexible do WinCC (TIA Portal)? WinCC (TIA Portal) FAQ Listopad 2012 Service & Support Answers for industry. Pytanie Dokument ten

Bardziej szczegółowo

Instrukcja instalacji systemu

Instrukcja instalacji systemu Instrukcja obsługi System do obsługi windykacji Instrukcja instalacji systemu (rev 1.0) ANT.COM Andrzej Tomaszewski www.antcom.pl System do obsługi windykacji instrukcja instalacji systemu 2 Niniejszy

Bardziej szczegółowo

Tworzenie pliku źródłowego w aplikacji POLTAX2B.

Tworzenie pliku źródłowego w aplikacji POLTAX2B. Tworzenie pliku źródłowego w aplikacji POLTAX2B. Po utworzeniu spis przekazów pocztowych klikamy na ikonę na dole okna, przypominającą teczkę. Następnie w oknie Export wybieramy format dokumentu o nazwie

Bardziej szczegółowo

Dodawanie nowego abonenta VOIP na serwerze Platan Libra

Dodawanie nowego abonenta VOIP na serwerze Platan Libra Dodawanie nowego abonenta VOIP na serwerze Platan Libra Wstęp: Celem ćwiczenia jest ustawienie nowego abonenta VOIP w centrali Platan Libra, oraz konfiguracja programu do połączeń VOIP na komputerze i

Bardziej szczegółowo

Modelowanie obiektowe - Ćw. 1.

Modelowanie obiektowe - Ćw. 1. 1 Modelowanie obiektowe - Ćw. 1. Treść zajęć: Zapoznanie z podstawowymi funkcjami programu Enterprise Architect (tworzenie nowego projektu, korzystanie z podstawowych narzędzi programu itp.). Enterprise

Bardziej szczegółowo

Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP

Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP W tym opracowaniu opisano, jak korzystać z edytora zasad grupy do zmiany ustawień zasad lokalnych dla

Bardziej szczegółowo

FAQ: 00000013/PL Data: 16/11/2007 Programowanie przez Internet: Konfiguracja modułów SCALANCE S 612 V2 do komunikacji z komputerem przez VPN

FAQ: 00000013/PL Data: 16/11/2007 Programowanie przez Internet: Konfiguracja modułów SCALANCE S 612 V2 do komunikacji z komputerem przez VPN Za pomocą dwóch modułów SCALANCE S 612 V2* (numer katalogowy: 6GK5612-0BA00-2AA3) chcemy umoŝliwić dostęp do sterownika podłączonego do zabezpieczonej sieci wewnętrznej. Komputer, z którego chcemy mieć

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

Sterownik Spid Pant 8 i Ant 8. Podręcznik użytkowania

Sterownik Spid Pant 8 i Ant 8. Podręcznik użytkowania Sterownik Spid Pant 8 i Ant 8 Podręcznik użytkowania Spis treści Spis treści...2 Wprowadzenie...3 Komplet...3 Dane techniczne...3 Panel sterujący...4 Panel tylny...5 Obsługa sterownika...6 Zmiana trybu

Bardziej szczegółowo

Kod produktu: MP-W7100A-RS485

Kod produktu: MP-W7100A-RS485 KONWERTER RS485/422 - TCP/IP ETHERNET NA BAZIE W7100A FIRMY WIZNET MP-W7100A-RS485 jest gotowym do zastosowania konwerterem standardu RS485 lub RS422 na TCP/IP Ethernet. Umożliwia bezpośrednie podłączenie

Bardziej szczegółowo

Instrukcja aktualizacji oprogramowania

Instrukcja aktualizacji oprogramowania Strona 1 / 8 SPIS TREŚCI 1. INSTALACJA STEROWNIKA USB ORAZ OPROGRAMOWANIA FLASHER... 3 1.1. Instalacja sterownika USB... 3 1.2. Instalacja oprogramowania Flasher... 3 2. OPIS PROCEDURY AKTUALIZACJI OPROGRAMOWANIA

Bardziej szczegółowo

Instrukcja konfiguracji drukarki HP LaserJet 1018 dla systemu dreryk

Instrukcja konfiguracji drukarki HP LaserJet 1018 dla systemu dreryk Instrukcja konfiguracji drukarki HP LaserJet 1018 dla systemu dreryk 28.12.2006 wersja 0.01 - wstępna 1. Opis problemów Drukarka 1018 jest bardzo popularną drukarką laserową na rynku polskim. Problemy

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051

Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051 Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051 Aby móc skorzystad z możliwości środowiska uruchomieniowego uvision v.4.0, firmy Keil należy stworzyd

Bardziej szczegółowo

Przygotowanie komputera do pracy w trybie LAN-LAN

Przygotowanie komputera do pracy w trybie LAN-LAN Przygotowanie komputera do pracy w trybie LAN-LAN Wiekszosc ustawien potrzebnych dla prawidlowej pracy komputera w trybie routing u LAN-LAN zostalo przez ciebie wykonane w rozdziale 5 Ustawienia dla uzytkownika

Bardziej szczegółowo

Podstawy programowania w środowisku Step 7

Podstawy programowania w środowisku Step 7 GRUPA MT Temat i Autor Podstawy programowania w środowisku Step 7 Krzysztof Bodzek, Arkadiusz Domoracki CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration Automation Portal 2. Konfiguracja sterownika

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWNIKA MPCC

INSTRUKCJA UŻYTKOWNIKA MPCC V1.0.0 (10.14.2015) 1 (7) INSTALACJA UWAGA: Produkt działa jako urządzenie nadrzędne Modbus. Dlatego w przypadku podłączania narzędzia do istniejącej sieci Modbus konieczne może okazać się odłączenie innego

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Zadanie 5 Projekt licznika wykorzystanie komórek standardowych

Zadanie 5 Projekt licznika wykorzystanie komórek standardowych Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Zadanie 5 Projekt licznika wykorzystanie komórek standardowych KE AGH str. 1 1. Cel Zapoznanie się studenta z projektowaniem hierarchicznym wykorzystując

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo

Program emulatora GPS pobieramy ze strony z działu Pobieralnia.

Program emulatora GPS pobieramy ze strony  z działu Pobieralnia. 1. WSTĘP Do emulacji ruchu okrętu na mapie nawigacyjnej potrzebujemy trzech rzeczy: Komputera PC Programu nawigacyjnego polecam OpenCPN Programu symulatora GPS Programu Com0com jako mostka wirtualnego

Bardziej szczegółowo

Jak zapisać wersje elektroniczną pracy dyplomowej? - INSTRUKCJA (krok po kroku)

Jak zapisać wersje elektroniczną pracy dyplomowej? - INSTRUKCJA (krok po kroku) Jak zapisać wersje elektroniczną pracy dyplomowej? - INSTRUKCJA (krok po kroku) Zastosowane oprogramowanie: System operacyjny: Windows XP PL Edytor tekstu: Microsoft Office 2003 PL Word Oprogramowanie

Bardziej szczegółowo

Qtiplot. dr Magdalena Posiadała-Zezula

Qtiplot. dr Magdalena Posiadała-Zezula Qtiplot dr Magdalena Posiadała-Zezula Magdalena.Posiadala@fuw.edu.pl www.fuw.edu.pl/~mposiada Start! qtiplot poza rysowaniem wykresów pozwala też na zaawansowaną obróbkę danych.! qtiplot jest silnie wzorowany

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Rozdzial 6 - Problemy

Rozdzial 6 - Problemy Rozdzial 6 - Problemy Problem #1? Mój komputer nie moze odnalezc w mojej sieci IAS, RAS, a tym samym nie moge go skonfigurowac. - Spróbuj nacisnac przycisk Odswiez liste urzadzen (Refresh Device List).

Bardziej szczegółowo

Materiały dodatkowe. Raspberry Pi

Materiały dodatkowe. Raspberry Pi Katedra Inżynierii Systemów Sterowania Materiały dodatkowe Raspberry Pi Opracowali: mgr inż. Tomasz Karla Data: Listopad, 2016 r. Dodatkowe informacje Materiały dodatkowe mają charakter ogólny i służą

Bardziej szczegółowo

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200 Za pomocą oprogramowania PC Access oraz programu Microsoft Excel moŝliwa jest prosta wizualizacja programów wykonywanych na sterowniku SIMATIC S7-200. PC Access umoŝliwia podgląd wartości zmiennych oraz

Bardziej szczegółowo

Program APEK Użytkownik Instrukcja użytkownika

Program APEK Użytkownik Instrukcja użytkownika Program APEK Użytkownik Instrukcja użytkownika http://www.apek.pl e-mail. Biuro@apek.pl tel. 022 6447970 Systemy monitorowania programem APEK Użytkownik. 1.1 Wiadomości wstępne: Podgląd danych i ustawianie.

Bardziej szczegółowo

Kod produktu: MP-W7100A-RS232

Kod produktu: MP-W7100A-RS232 KONWERTER RS232 - TCP/IP ETHERNET NA BAZIE W7100A FIRMY WIZNET MP-W7100A-RS232 jest gotowym do zastosowania konwerterem standardu RS232 na TCP/IP Ethernet (serwer portu szeregowego). Umożliwia bezpośrednie

Bardziej szczegółowo

Projektowanie baz danych za pomocą narzędzi CASE

Projektowanie baz danych za pomocą narzędzi CASE Projektowanie baz danych za pomocą narzędzi CASE Metody tworzenia systemów informatycznych w tym, także rozbudowanych baz danych są komputerowo wspomagane przez narzędzia CASE (ang. Computer Aided Software

Bardziej szczegółowo

Ploter I-V instrukcja obsługi

Ploter I-V instrukcja obsługi L A B O R A T O R I U M ELEMENTY ELEKTRONICZNE Ploter I-V instrukcja obsługi Opracowali: Grzegorz Gajoch & Piotr Rzeszut REV. 1.0 1. OPIS PROGRAMU Ploter I-V służy do zbierania charakterystyk prądowo napięciowych

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 2. Analiza wielkosygnałowa Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse).

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Obsługa portów wejścia/wyjścia mikrokontrolera laboratorium: 02 autor: mgr inż.

Bardziej szczegółowo

Projekt Komputerowych Systemów Sterowania Wymiana danych pomiędzy dwoma sterownikami Siemens S7-300 po sieci Profibus DP

Projekt Komputerowych Systemów Sterowania Wymiana danych pomiędzy dwoma sterownikami Siemens S7-300 po sieci Profibus DP Gliwice, 7 stycznia 2007-01-07 Projekt Komputerowych Systemów Sterowania Wymiana danych pomiędzy dwoma sterownikami Siemens S7-300 po sieci Profibus DP Janusz Serwin KSS, sem. 9 Informacje ogólne Profibus

Bardziej szczegółowo

Budowa i oprogramowanie komputerowych systemów sterowania. Laboratorium 4. Metody wymiany danych w systemach automatyki DDE

Budowa i oprogramowanie komputerowych systemów sterowania. Laboratorium 4. Metody wymiany danych w systemach automatyki DDE Budowa i oprogramowanie komputerowych systemów sterowania Laboratorium 4 Metody wymiany danych w systemach automatyki DDE 1 Wprowadzenie do DDE DDE (ang. Dynamic Data Exchange) - protokół wprowadzony w

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

THOMSON SpeedTouch 706 WL

THOMSON SpeedTouch 706 WL THOMSON SpeedTouch 706 WL bramka VoIP jeden port FXS do podłączenia aparatu telefonicznego wbudowany port FXO do podłączenia linii stacjonarnej PSTN sieć bezprzewodowa WiFi 2 portowy switch WAN poprzez

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A400 028 Ćwiczenie Nr 1 ZESTAW LABORATORYJNY SYSTEMU

Bardziej szczegółowo

Programator procesorów rodziny AVR AVR-T910

Programator procesorów rodziny AVR AVR-T910 Programator procesorów rodziny AVR AVR-T910 Instrukcja obsługi Opis urządzenia AVR-T910 jest urządzeniem przeznaczonym do programowania mikrokontrolerów rodziny AVR firmy ATMEL. Programator podłączany

Bardziej szczegółowo

Programowanie niskopoziomowe

Programowanie niskopoziomowe W. Complak, J.Kniat, M. Antczak, K. Kwarciak, G. Palik, A. Rybarczyk, Ł. Wielebski Materiały Programowanie niskopoziomowe http://www.cs.put.poznan.pl/arybarczyk/c_w_0.pdf Spis treści 1. Instalacja środowiska

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWANIA CZYTNIKA KART PROCESOROWYCH SYGNET 5v1 IU.01.04.SY5

INSTRUKCJA UŻYTKOWANIA CZYTNIKA KART PROCESOROWYCH SYGNET 5v1 IU.01.04.SY5 INSTRUKCJA UŻYTKOWANIA CZYTNIKA KART PROCESOROWYCH SYGNET 5v1 Spis treści: 1. Wymagania systemowe...2 2. Parametry techniczne...2 3. Zestaw...2 4. Instalacja oprogramowania...3 4.1. Instalacja w systemie

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Kopiowanie i instalowanie pliku w systemie Windows CE

Kopiowanie i instalowanie pliku w systemie Windows CE Kopiowanie i instalowanie pliku w systemie Windows CE Poziom trudności: średni Wersja dokumentacji: 1.0 Aktualizacja: 19.05.2011 r. Beckhoff Automation Sp. z o. o. SPIS TREŚCI 1. Wstęp... 2 2. Ściągniecie

Bardziej szczegółowo

FPGA. Budowa sterownika do wyświetlacza 7-segmentowego przy użyciu bramek

FPGA. Budowa sterownika do wyświetlacza 7-segmentowego przy użyciu bramek FPGA Budowa sterownika do wyświetlacza 7-segmentowego przy użyciu bramek 1. Opis projektu tworzonego w dalszej części instrukcji Celem ćwiczenia jest zapoznanie się ze sposobem projektowania układów logicznych

Bardziej szczegółowo

DEMERO Automation Systems

DEMERO Automation Systems Programowanie wektorowych przetwornic częstotliwości serii POSIDRIVE FDS5000 / MDS5000 i serwonapędów POSIDRIVE MDS5000 / POSIDYN SDS5000 firmy Stober Antriebstechnik Konfiguracja parametrów w programie

Bardziej szczegółowo

Przewodnik szybkiej instalacji

Przewodnik szybkiej instalacji Przewodnik szybkiej instalacji TL-PS110U Pojedynczy Serwer Wydruku USB2.0 Fast Ethernet TL-PS110P Pojedynczy Serwer Wydruku z portem równoległym Fast Ethernet Rev:1.0.0 7106500679 V1.0 A Przed rozpoczęciem,

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo