OPTYMALIZACJA ARCHITEKTURY MIKROKONTROLERÓW PRZEZNACZONYCH DO REALIZACJI W UKŁADACH FPGA

Wielkość: px
Rozpocząć pokaz od strony:

Download "OPTYMALIZACJA ARCHITEKTURY MIKROKONTROLERÓW PRZEZNACZONYCH DO REALIZACJI W UKŁADACH FPGA"

Transkrypt

1 OPTYMALIZACJA ARCHITEKTURY MIKROKONTROLERÓW PRZEZNACZONYCH DO REALIZACJI W UKŁADACH FPGA Oleg Maslennikow*, Anatolij Sergyienko**, Mirosław Łącki* Politechnika Koszalińska, Wydział Elektroniki, Ul. Partyzantów 17, Koszalin oleg@ie.tu.koszalin.pl Politechnika Kijowska, Pr. Pobedy 37, Kijów aser@comsys.ntu-kpi.kiev.ua Streszczenie W pracy proponuje się sposób optymalizacji architektur mikrokontrolerów przeznaczonych do implementacji w układach reprogramowalnych FPGA. Sposób bazuje się na dopasowywaniu architektury mikrokontrolera do jego programu wykonawczego. Początkowa architektura mikrokontrolera zawiera zbiór wszystkich bloków funkcyjnych przypisanych do określonych podzbiorów rozkazów i adresów pamięci. Natomiast struktura bloku sterowania mikrokontrolera i struktura jednostki arytmetyczno-logicznej są rozproszone. Model tej architektury zostaje opisany w języku VHDL z możliwością odłączenia poszczególnych bloków razem z odpowiednimi jednostkami sterującymi. Podczas automatycznej analizy programu wykonawczego mikrokontrolera określa się, jakie rozkazy i adresy pamięci ten program nie wykorzystuje. Otrzymana informacja jest wejściową dla programu-kompilatora zoptymalizowanej architektury, który w podstawowym modelu VHDL mikrokontrolera eliminuje niewykorzystywane bloki i komórki pamięci. Zaletami proponowanego sposobu są uproszczenie architektury mikrokontrolera implementowanego w FPGA, zwiększenie częstotliwości pracy systemu i energooszczędność, przy zachowaniu pełnej kompatybilności programowej z oryginalnym mikrokontrolerem. 1. WPROWADZENIE Obecnie technologia opracowania systemów obróbki danych umieszczonych całkowicie w jednym układzie VLSI (ang. SoC - system-on-chip) otrzymuje coraz szerokie rozpowszechnienie. Realizacja takich systemów w układach reprogramowalnych FPGA (ang. field programmable gate array) pozwala skrócić etapy ich projektowania, produkowania i testowania, oraz zmniejszyć ich cenę [1]. Jedną z podstawowych zasad projektowania SoC jest wykorzystanie standardowych bloków obliczeniowych IP-cores wybieranych ze specjalnych bibliotek (ang. IP-cores intellectual property cores). Główną cechą takich bloków jest możliwość ich dopasowywania do projektu systemu, co pozwala minimalizować złożoność sprzętową całego projektu i przy następnej realizacji jego w układzie FPGA zmniejszyć ilość wykorzystanych komórek CLB (ang. configurable logical block) lub bloków slices. Większość nowoczesnych systemów sterowania zbudowane są w oparciu o mikrokontrolery (MK), wśród których najbardziej rozpowszechnione są 8-bitowe mikrokontrolery z rodziny i8051. Z tego powodu IP-cores z architekturą tego mikrokontrolera są często wykorzystywane w SoC [2]. Dane statystyczne jednak świadczą, że w zwykłym mikrokontrolerze tej rodziny (których są miliardy na świecie) 50% wszystkich tranzystorów nigdy się nie przełączają, natomiast 10% tranzystorów przełączane są w ciągu 90% czasu pracy mikrokontrolera. Przyczyna tego tkwi w tym, że mikrokontrolery przeznaczone są do wykonania określonych funkcji obliczeniowych i sterujących, przechowywanych w postaci odpowiednich programów wykonawczych w pamięci MK. Ponieważ zapisany do pamięci program wykonawczy zwykle nie zmienia się w ciągu całego cyklu życiowego mikrokontrolera, najbardziej efektywną architekturą MK będzie ta, która jest dopasowana

2 wyłącznie do wykonania określonego programu wykonawczego. To oznacza, ze system rozkazów i struktura mikrokontrolera powinny być wyspecjalizowane. W związku z tym, w pracy proponuje się sposób optymalizacji (inaczej mówiąc - właściwego konfigurowania) architektur mikrokontrolerów przed ich implementacją w układ FPGA, bazujący się na dopasowywaniu je do odpowiednich programów wykonawczych. Początkowa architektura mikrokontrolera zawiera zbiór bloków funkcyjnych przypisanych do określonych podzbiorów rozkazów i adresów pamięci. Natomiast struktura bloku sterowania mikrokontrolera i struktura jednostki arytmetyczno-logicznej są rozproszone. Model tej architektury zostaje opisany w języku VHDL z możliwością odłączenia poszczególnych bloków. Podczas automatycznej analizy programu wykonawczego mikrokontrolera określa się, jakie rozkazy i adresy pamięci ten program nie wykorzystuje. Otrzymana informacja jest wejściową dla programu-kompilatora zoptymalizowanej struktury, który w podstawowym modelu VHDL mikrokontrolera eliminuje niewykorzystywane bloki i fragmenty pamięci. Zaletami proponowanego sposobu są uproszczenie architektury mikrokontrolera implementowanego w FPGA, ewentualne zwiększenie częstotliwości pracy systemu i energooszczędność, przy zachowaniu pełnej kompatybilności programowej z oryginalnym mikrokontrolerem. 2. SPOSOBY OPTYMALIZACJI ZŁOŻONOŚCI SPRZĘTOWEJ MK Ponieważ mikrokontrolery składają się z różnego rodzaju układów kombinacyjnych i układów pamięci, to zwykle ich złożoność sprzętową optymalizują za pomocą minimalizacji logicznej części kombinacyjnej oraz zmniejszenia liczby rejestrów i objętości pamięci danych (RAM) i programów (ROM). Istnieją kilka znanych metod optymalizacji skierowanych na wybór właściwego systemu rozkazów, odpowiednich trybów adresacji, optymalizacji automatów sterujących itd. Jednak współczesna technologia projektowania SoC ma swoją specyfikę, co wymaga opracowania nowych metod optymalizacji. Zgodnie z tą technologią, optymalizację logiczną modelu systemu wykonuje kompilator z języka opisu sprzętu (np. z języka VHDL lub Verilog) przed jego implementacją w układzie reprogramowalnym. Dlatego dodatkowa optymalizacja funkcji logicznych zwykle nie daje znaczących wyników. W pracy [3] proponuje się sposób minimalizacji objętości pamięci programów mikrokontrolera za pomocą kompresji przechowywanej w niej informacji. Zastosowanie takiego sposobu pozwala zmniejszyć złożoność sprzętową MK na 7-20%. Najbardziej efektywnym podejściem do optymalizacji złożoności sprzętowej mikrokontrolerów jest opracowanie wyspecjalizowanego systemu jego rozkazów. Taka optymalizacja może być skierowana nie tylko na optymalizację algorytmów obliczeniowych i sterujących przekształcanych potem w odpowiednie programy wykonawcze MK, lecz również na uproszczenie złożoności sprzętowej architektur MK [4]. Jednak, przy takim podejściu powstają trudności powiązane z tworzeniem oprogramowania dla wyspecjalizowanego mikrokontrolera, ponieważ system jego rozkazów jest niestandardowym. Mimo istnienia kompilatorów z możliwością dostrajania do dowolnej architektury mikrokontrolera, ich efektywność na razie nie jest wystarczająca [5]. Obecnie najbardziej rozpowszechnionym w praktyce podejściem jest wybór modelu (IPcore) mikrokontrolera z najmniejszą złożonością sprzętową wśród kilku proponowanych na rynku. W większości z nich można odłączać bloki, które nie są potrzebne. Na przykład, w pracy [6] przedstawiono IP-core mikrokontrolera i8051, które można dostrajać do potrzeb konkretnego użytkownika za pomocą określenia liczby timerów, stron pamięci oraz portów wejścia-wyjścia. Proponowany sposób minimalizacji złożoności sprzętowej mikrokontrolera jest oparty o dostrajanie jego architektury opisanej w języku VHDL do programu, który MK powinien

3 wykonać (odpowiednie konfigurowanie). Dla osiągnięcia tego celu architektura MK powinna składać się z dużej liczby funkcjonalnych bloków przypisanych do określonych podzbiorów rozkazów i adresów pamięci. W takim przypadku analiza programu wykonawczego pozwala określić jakie rozkazy i adresy pamięci nie są wykorzystywane. Otrzymana informacja jest wejściową dla programu-kompilatora zoptymalizowanej struktury, który w podstawowym modelu VHDL mikrokontrolera wyłącza niewykorzystywane bloki i fragmenty pamięci. Struktura mikrokontrolera zwykle zawiera dwie najważniejsze części: blok sterowania (BS) z rejestrem rozkazów (RR), oraz jednostkę operacyjną, zawierającą pamięć programów ROM_P, urządzenie arytmetyczno-logiczne (ALU), pamięć danych RAM_D, blok licznika rozkazów LR, blok przerwań (BP) oraz blok rejestrów specjalnych i portów (BRSP). Uproszczona struktura mikrokontrolera rodziny i8051 przedstawiona jest na rys.1. RR BS ROM_P LR RAM_D ALU BP BRP... P3 P0 ADRESS BUS Rys. 1. Uproszczona struktura mikrokontrolera z centralizowanym sterowaniem W takiej strukturze mikrokontrolera wszystkimi blokami steruje centralizowany blok sterowania BS, który może być realizowany jako automat ze sterowaniem mikroprogramowym, lub np. jako automat Mealy ego. Jednak podstawowymi wadami obu wariantów realizacji BS przy ich implementacji w układach FPGA są duża złożoność sprzętowa (liczba wykorzystanych komórek - CLB) i niska częstotliwość jego pracy, spowodowana dużymi opóźnieniami w formowaniu sygnałów sterujących. Przyczyną wymienionych wad tkwi w tym, ze opis w VHDL centralizowanego bloku sterowania jest przekształcany w równania logiczne z dużą liczbą argumentów. Takie równania zwykle są trudne do zminimalizowania, dlatego na etapie implementacji one są przekształcane w wielopoziomowy układ logiczny z długim czasem propagacji sygnału. Poza tym, od centralizowanego BS sygnały sterowania muszą być doprowadzone do wszystkich bloków funkcyjnych MK, co powoduje powstanie długich połączeń z długimi opóźnieniami przekazywania sygnałów. W związku z tym, w celu uproszczenia wielopoziomowego układu sterującego i eliminacji długich połączeń proponuje się struktura mikrokontrolera z rozproszonym sterowaniem przedstawiona na rys.2. Cztery bloki funkcyjne: LR, RAM_D, ALU i BP mają osobne (lokalne) bloki sterowania (LBS) i rejestry rozkazów, które dekodują tylko rozkazy dotyczące odpowiedniego bloku funkcyjnego i wydają odpowiednie sygnały sterowania. Z tego powodu każdy z bloków LBS jest kilka razy prostszy i szybszy od centralizowanego BS. Poza tym, w takiej strukturze wszystkie bloki funkcyjne mikrokontrolera przedstawiają sobą zlokalizowane klastery z ograniczoną liczbą połączeń zewnętrznych. Drugim trudnym do zminimalizowania blokiem funkcyjnym jest centralizowane ALU. Takie ALU z reguły zawiera dużo wielowejściowych multiplekserów, których implementacja

4 w układach FPGA powoduje (na skutek osobliwości budowy komórek) powstanie wielopoziomowych układów z dużą liczbą połączeń. W wyniku zmniejsza się częstotliwość pracy całego systemu. COMMAND BUS P0 LBS LBS LBS LBS... ROM_P LR RAM_D ALU BP BRP P3 ADRESS BUS Rys. 2. Przykładowa struktura mikrokontrolera z rozproszonym sterowaniem Z innej strony, realizacja w układach reprogramowalnych sumatorów jest bardzo prosta (porównywalna ze złożonością dwuwejściowego multipleksera), a opóźnienie sumatora jest znacznie mniejsze od opóźnienia wielowejściowego multipleksera. Dlatego, bardziej efektywną architekturą ALU w modelu VHDL mikrokontrolera jest architektura rozproszona. To znaczy, ze bardziej efektywnym jest wprowadzenie do struktury ALU dodatkowych sumatorów, rejestrów i liczników, niż minimalizacja liczby takich bloków za pomocą wprowadzenia wielowejściowych multiplekserów. Na przykład, operacje dodawania, mnożenia, dzielenia, porównania oraz operacje bitowe proponuje się wykonywać w różnych blokach ALU. Struktura proponowanego rozproszonego ALU (opartego o strukturę ALU mikrokontrolera i8051) jest przedstawiona na rys. 3. COMMAND BUS RR LBS BSW BA BOB BM BD BKD Rys. 3. Przykładowe ALU z rozproszoną strukturą ALU składa się z bloku sprawdzenia warunków logicznych (BSW), bloku arytmetycznego (BA), bloku operacji na bitach (BOB), bloku mnożącego (BM), bloku dzielącego (BD), oraz bloku korekcji dziesiętnej (BKD). Każdy z tych bloków może być wyeliminowany ze struktury ALU, jeśli odpowiednie rozkazy nie są wykorzystywane w programie wykonawczym mikrokontrolera. Poza tym, upraszcza się blok sterowania ALU, które nie dekoduję brakujące rozkazy.

5 Znaczący efekt daje również zmniejszenie liczby sygnałów żądania przerwań. Takie rozwiązanie upraszcza blok przerwań i zwiększa częstotliwość jego pracy. W wyniku, opracowana zgodnie z proponowanym sposobem procedura otrzymania zoptymalizowanej architektury (konfigurowanie architektury) mikrokontrolera wygląda następującą. Program wykonawczy mikrokontrolera opracowuje się w sposób standardowy, biorąc pod uwagę jednak to, że im mniej różnych typów rozkazów, portów i rejestrów specjalnych będzie w programie wykorzystane, tym więcej komórek układu FPGA będzie zaoszczędzono, oraz tym większa częstotliwość działania układu będzie osiągnięta. Następnie otrzymany zostaję kod binarny programu wykonawczego. Ten kod analizuje specjalnie opracowane środowisko programowe HEXANALYSER. Wynikiem działania tego środowiska jest plik z opisem modelu VHDL mikrokontrolera dopasowanym do programu wykonawczego. Otrzymany plik następnie może być skompilowany przez dowolny program do syntezy logicznej (np. Synopsys) w celu otrzymania zoptymalizowanego schematu logicznego mikrokontrolera. 3. WYNIKI EKSPERYMENTALNE Zgodnie z zaproponowanym w referacie sposobem opracowany został model VHDL mikrokontrolera z architekturą i8051. W Tabl. 1 przedstawiono parametry (ilość bloków slice) różnych konfiguracji architektur tego mikrokontrolera (odpowiadających rożnym programom wykonawczym) przy ich implementacji w układzie FPGA Virtex firmy Xilinx. Tabl. 1. Nakłady sprzętowe przy realizacji różnych konfiguracji mikrokontrolera Konfiguracja mikrokontrolera Nakłady sprzętowe (ilość bloków slice) % od konfiguracji pełnej Pełny system rozkazów (Konfiguracja pełna) To samo, ale bez rozkazów MUL, DIV, DA (konfiguracja bez bloków BM, BD i BKD) To samo, ale bez rozkazów MOVC, przesunięć i ,8 operacji bitowych To samo, ale bez 3 portów równoległych ,1 To samo, ale bez jednego timera ,8 To samo, ale bez timerów i portu szeregowego (UART) ,2 Analiza tablicy pokazuje, że np. rezygnacja z rozkazów mnożenia, dzielenia i korekcji dziesiętnej oszczędza 9% nakładów sprzętowych mikrokontrolera. Minimalna konfiguracja mikrokontrolera oszczędza prawie 35% bloków slice w porównaniu z jego konfiguracją pełną, czyli nakłady sprzętowe zmniejszane są w ponad 1,5 razy. Poza tym, dzięki realizacji rozproszonej wersji ALU i bloku sterowania, pełna konfiguracja mikrokontrolera już wymaga w 1,35 razy mniej bloków slice w porównaniu z np. IP-core mikrokontrolera opisanego w [6], a częstotliwość jego pracy w układzie XCV osiąga 60 MHz, czyli jest w 1,7 razy większa od częstotliwości pracy mikrokontrolera opisanego w [6]. 4. PODSUMOWANIE Zaproponowany sposób optymalizacji architektury mikrokontrolera przeznaczonego do implementacji w układach reprogramowalnych FPGA bazuje się na dopasowywaniu jego architektury do programu wykonawczego. Początkowa architektura mikrokontrolera zawiera

6 zbiór wszystkich bloków funkcyjnych przypisanych do określonych podzbiorów rozkazów i adresów pamięci. Szczegółową cechą tej architektury jest to, że blok sterowania mikrokontrolera i jego jednostka arytmetyczno-logiczna są rozproszone. Model tej architektury zostaje opisany w języku VHDL z możliwością odłączenia poszczególnych bloków razem z odpowiednimi jednostkami sterującymi. Podczas automatycznej analizy programu wykonawczego mikrokontrolera określa się, jakie rozkazy i adresy pamięci ten program nie wykorzystuje, i eliminują się odpowiednie bloki funkcyjne. Zaletami proponowanego sposobu są zmniejszenie w ponad 1,5 razy liczby komórek niezbędnych do realizacji mikrokontrolera w układzie FPGA, oraz zwiększenie częstotliwości jego pracy. LITERATURA [1] Keating M., Bricaud P. Reuse Methodology Manuals For System-On-Chip Designs, Kluwer, 1999, 286 p. [2] De Michelli G., Gupta R.K. Hardware/Software Co-Design, IEEE Proc., 1997, V.85, No3, pp [3] Liao S.Y., Devadas S., Keuzer K. Code Density Optimizationfor Embedded DSP Processors Using Data Compression Techniques, IEEE tranz. On Computer-Aided Design of Integrated Circuits and Systems, 1998, V.17, No7, pp [4] Huang I.J., Despain A.M. Synthesis of Application Specific Instruction Sets, IEEE trans. On Computer-Aided Design of Integrated Circuits and Systems, 1995, V.14, No6, pp [5] Bhattacharya S.S., Leupers R., Marwedel P. Software Synthesis And Code Generation For Signal Processing Systems, IEEE Tranz. On Circuits and Systems, Part II, 2000, V. 47, No9, pp [6] Dolphin Provies Industry Fastest 8051 Core for Xilinx Virtex FPGAs, Available at

7 OPTIMIZATION OF MIKROCONTROLLER ARCHITECTURE FOR ITS REALIZATION IN FPGA DEVICES Oleg Maslennikow*, Anatolij Sergyienko**, Miroslaw Lacki* Technical University of Koszalin, Ul. Partyzantów 17, Koszalin, Poland Technical University of Kiev, Pr. Pobedy 37, Kiev, Ukraine Abstract This paper presents the approach to optimization of mikrocontroller architecture for its further implementation in FPGA devices. The approach is based on automated adaptation of the mikrocontroller structure for its executing program. Initial structure of the mikrocontroller consists of all its function blocks with separate local control unit for each block. On the first stage, the user microcontroller program are analyzed. By this process the unused instruction codes and unused data addresses are fixed. On the second stage, the mikrocontroller VHDL core is generated, in which the unused units and logic cells are taken off automatically. On the third stage the whole project is translated into the configuration file of the target FPGA device. The proposed approach of mapping the executing program into configurable hardware showed the 1.5 times of hardware minimization in the case of use of well-known i8051 microcontroler architecture. Moreover, the designed microcontroller IP-core has in 6 times higher instruction implementation speed, and in more than 1.7 times clock frequency in comparison to the known IP-cores of this microcontroller.

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Technika mikroprocesorowa

Technika mikroprocesorowa Technika mikroprocesorowa zajmuje się przetwarzaniem danych w oparciu o cyfrowe programowalne układy scalone. Systemy przetwarzające dane w oparciu o takie układy nazywane są systemami mikroprocesorowymi

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 6.4.26 dr inż. Daniel Kopiec Plan wykładu Pamięć w układach programowalnych Zasada działania, podział pamięci Miara

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Współczesne techniki informacyjne

Współczesne techniki informacyjne Współczesne techniki informacyjne są multimedialne, można oczekiwać, że po cywilizacji pisma (i druku) nastąpi etap cywilizacji obrazowej czyli coraz większa jest potrzeba gromadzenia i przysyłania wielkiej

Bardziej szczegółowo

Automatyzacja procesu implementacji układów cyfrowych w technologii prądowych układów FPGA

Automatyzacja procesu implementacji układów cyfrowych w technologii prądowych układów FPGA Przemysław Sołtan Oleg Maslennikow Wydział Elektroniki Politechnika Koszalińska ul. Partyzantów 17, 75-411 Koszalin Robert Berezowski Magdalena Rajewska Automatyzacja procesu implementacji układów cyfrowych

Bardziej szczegółowo

Organizacja typowego mikroprocesora

Organizacja typowego mikroprocesora Organizacja typowego mikroprocesora 1 Architektura procesora 8086 2 Architektura współczesnego procesora 3 Schemat blokowy procesora AVR Mega o architekturze harwardzkiej Wszystkie mikroprocesory zawierają

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44 Synteza systemów reprogramowalnych /27 dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 07, tel. 449 55 44 FPGA, CPLD, SPLD 945 950 955 960 965 970 975 980 985 990 995 2000 0 D CLK update v cur Q Q 0

Bardziej szczegółowo

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach mikrokontrolery mikroprocesory Technika mikroprocesorowa Linia rozwojowa procesorów firmy Intel w latach 1970-2000 W krótkim pionierskim okresie firma Intel produkowała tylko mikroprocesory. W okresie

Bardziej szczegółowo

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Arkadiusz Bukowiec 1 Radosław Gąsiorek 2 Agnieszka Węgrzyn 3 Prezentowany referat przedstawia ogólną koncepcję

Bardziej szczegółowo

Logiczny model komputera i działanie procesora. Część 1.

Logiczny model komputera i działanie procesora. Część 1. Logiczny model komputera i działanie procesora. Część 1. Klasyczny komputer o architekturze podanej przez von Neumana składa się z trzech podstawowych bloków: procesora pamięci operacyjnej urządzeń wejścia/wyjścia.

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE

MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE Oeg Maslennikow, Robert Berezowski, Przemysław Sołtan Politechnika Koszalińska, Wydział Elektroniki, ul. Partyzantów 17, 75-411 Koszalin

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

Budowa komputera Komputer computer computare

Budowa komputera Komputer computer computare 11. Budowa komputera Komputer (z ang. computer od łac. computare obliczać) urządzenie elektroniczne służące do przetwarzania wszelkich informacji, które da się zapisać w formie ciągu cyfr albo sygnału

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

Systemy operacyjne i sieci komputerowe Szymon Wilk Superkomputery 1

Systemy operacyjne i sieci komputerowe Szymon Wilk Superkomputery 1 i sieci komputerowe Szymon Wilk Superkomputery 1 1. Superkomputery to komputery o bardzo dużej mocy obliczeniowej. Przeznaczone są do symulacji zjawisk fizycznych prowadzonych głównie w instytucjach badawczych:

Bardziej szczegółowo

Przerzutniki prądowe dla logiki wielowartościowej i arytmetyki resztowej

Przerzutniki prądowe dla logiki wielowartościowej i arytmetyki resztowej Oleg Maslennikow Michał Białko Wydział Elektroniki Politechnika Koszalińska ul. Partyzantów 17, 75-411 Koszalin email: oleg@ie.tu.koszalin.pl Piotr Pawłowski Robert Berezowski Przerzutniki prądowe dla

Bardziej szczegółowo

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i

Bardziej szczegółowo

MIKROELEKTRONIKA [gr.], dział. elektroniki zajmujący się działaniem, konstrukcją Fifth i technologią Level układów scalonych.

MIKROELEKTRONIKA [gr.], dział. elektroniki zajmujący się działaniem, konstrukcją Fifth i technologią Level układów scalonych. Click Co to to jest edit mikroelektronika Master title style Click to edit Master text styles Second Level MIKROELEKTRONIKA [gr.], dział Third Level elektroniki zajmujący się działaniem, Fourth Level konstrukcją

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe Platforma sprzętowa Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Program wykładu Architektura układów FPGA Rodzina Xilinx Spartan-6

Bardziej szczegółowo

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool Magdalena Rajewska Robert Berezowski Oleg Maslennikow Adam Słowik Wydział Elektroniki i Informatyki Politechnika Koszalińska ul. JJ Śniadeckich 2, 75-453 Koszalin Wykorzystanie bramek prądowych i napięciowych

Bardziej szczegółowo

Architektura mikroprocesorów TEO 2009/2010

Architektura mikroprocesorów TEO 2009/2010 Architektura mikroprocesorów TEO 2009/2010 Plan wykładów Wykład 1: - Wstęp. Klasyfikacje mikroprocesorów Wykład 2: - Mikrokontrolery 8-bit: AVR, PIC Wykład 3: - Mikrokontrolery 8-bit: 8051, ST7 Wykład

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

Architektura systemów komputerowych. dr Artur Bartoszewski

Architektura systemów komputerowych. dr Artur Bartoszewski Architektura systemów komputerowych 1 dr Artur Bartoszewski Procesor część I 1. ALU 2. Cykl rozkazowy 3. Schemat blokowy CPU 4. Architektura CISC i RISC 2 Jednostka arytmetyczno-logiczna 3 Schemat blokowy

Bardziej szczegółowo

Projektowanie. Projektowanie mikroprocesorów

Projektowanie. Projektowanie mikroprocesorów WYKŁAD Projektowanie mikroprocesorów Projektowanie układ adów w cyfrowych - podsumowanie Algebra Boole a Bramki logiczne i przerzutniki Automat skończony System binarny i reprezentacja danych Synteza logiczna

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Przykładowe pytania DSP 1

Przykładowe pytania DSP 1 Przykładowe pytania SP Przykładowe pytania Systemy liczbowe. Przedstawić liczby; -, - w kodzie binarnym i hexadecymalnym uzupełnionym do dwóch (liczba 6 bitowa).. odać dwie liczby binarne w kodzie U +..

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 3 Jan Kazimirski 1 Podstawowe elementy komputera. Procesor (CPU) 2 Plan wykładu Podstawowe komponenty komputera Procesor CPU Cykl rozkazowy Typy instrukcji Stos Tryby adresowania

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Wykład 4 Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Mikrokontrolery PIC Mikrokontrolery PIC24 Mikrokontrolery PIC24 Rodzina 16-bitowych kontrolerów RISC Podział na dwie podrodziny: PIC24F

Bardziej szczegółowo

Architektura systemów komputerowych

Architektura systemów komputerowych Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Architektura systemów komputerowych dr Artur Bartoszewski Procesor część I 1. ALU 2. Cykl rozkazowy 3. Schemat

Bardziej szczegółowo

MIKROKONTROLERY I MIKROPROCESORY

MIKROKONTROLERY I MIKROPROCESORY PLAN... work in progress 1. Mikrokontrolery i mikroprocesory - architektura systemów mikroprocesorów ( 8051, AVR, ARM) - pamięci - rejestry - tryby adresowania - repertuar instrukcji - urządzenia we/wy

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Grzegorz Sułkowski, Maciej Twardy, Kazimierz Wiatr Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Plan prezentacji 1. Architektura Firewall a załoŝenia 2. Punktu

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

MAGISTRALE ZEWNĘTRZNE, gniazda kart rozszerzeń, w istotnym stopniu wpływają na

MAGISTRALE ZEWNĘTRZNE, gniazda kart rozszerzeń, w istotnym stopniu wpływają na , gniazda kart rozszerzeń, w istotnym stopniu wpływają na wydajność systemu komputerowego, m.in. ze względu na fakt, że układy zewnętrzne montowane na tych kartach (zwłaszcza kontrolery dysków twardych,

Bardziej szczegółowo

UTK ARCHITEKTURA PROCESORÓW 80386/ Budowa procesora Struktura wewnętrzna logiczna procesora 80386

UTK ARCHITEKTURA PROCESORÓW 80386/ Budowa procesora Struktura wewnętrzna logiczna procesora 80386 Budowa procesora 80386 Struktura wewnętrzna logiczna procesora 80386 Pierwszy prawdziwy procesor 32-bitowy. Zawiera wewnętrzne 32-bitowe rejestry (omówione zostaną w modułach następnych), pozwalające przetwarzać

Bardziej szczegółowo

IMPLEMENTATION OF THE SPECTRUM ANALYZER ON MICROCONTROLLER WITH ARM7 CORE IMPLEMENTACJA ANALIZATORA WIDMA NA MIKROKONTROLERZE Z RDZENIEM ARM7

IMPLEMENTATION OF THE SPECTRUM ANALYZER ON MICROCONTROLLER WITH ARM7 CORE IMPLEMENTACJA ANALIZATORA WIDMA NA MIKROKONTROLERZE Z RDZENIEM ARM7 Łukasz Deńca V rok Koło Techniki Cyfrowej dr inż. Wojciech Mysiński opiekun naukowy IMPLEMENTATION OF THE SPECTRUM ANALYZER ON MICROCONTROLLER WITH ARM7 CORE IMPLEMENTACJA ANALIZATORA WIDMA NA MIKROKONTROLERZE

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 5 Jan Kazimirski 1 Podstawowe elementy komputera. Procesor (CPU) c.d. 2 Architektura CPU Jednostka arytmetyczno-logiczna (ALU) Rejestry Układ sterujący przebiegiem programu

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne Evatronix KontrolerEthernet MAC (Media Access Control)

Bardziej szczegółowo

MOŻLIWOŚCI PROGRAMOWE MIKROPROCESORÓW

MOŻLIWOŚCI PROGRAMOWE MIKROPROCESORÓW MOŻLIWOŚCI PROGRAMOWE MIKROPROCESORÓW Projektowanie urządzeń cyfrowych przy użyciu układów TTL polegało na opracowaniu algorytmu i odpowiednim doborze i zestawieniu układów realizujących różnorodne funkcje

Bardziej szczegółowo

Architektura potokowa RISC

Architektura potokowa RISC Architektura potokowa RISC Podział zadania na odrębne części i niezależny sprzęt szeregowe Brak nawrotów" podczas pracy potokowe Przetwarzanie szeregowe i potokowe Podział instrukcji na fazy wykonania

Bardziej szczegółowo

Analiza i Synteza Układów Cyfrowych

Analiza i Synteza Układów Cyfrowych 1/16 Analiza i Synteza Układów Cyfrowych Wykład 1 Katedra Mikroelektroniki i Technik Informatycznych Rok akademicki 2012/2013 2/16 Organizacja zajęć Tematyka wykładu Literatura Część I Wstęp do wykładu

Bardziej szczegółowo

Układy kombinacyjne. cz.2

Układy kombinacyjne. cz.2 Układy kombinacyjne cz.2 Układy kombinacyjne 2/26 Kombinacyjne bloki funkcjonalne Kombinacyjne bloki funkcjonalne - dekodery 3/26 Dekodery Są to układy zamieniające wybrany kod binarny (najczęściej NB)

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Technika mikroprocesorowa. W. Daca, Politechnika Szczecińska, Wydział Elektryczny, 2007/08

Technika mikroprocesorowa. W. Daca, Politechnika Szczecińska, Wydział Elektryczny, 2007/08 Mikrokontrolery 16-bitowe Oferowane obecnie na rynku mikrokontrolery 16-bitowe opracowane zostały pomiędzy połowa lat 80-tych a początkiem lat 90-tych. Ich powstanie było naturalną konsekwencją ograniczeń

Bardziej szczegółowo

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne... Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...4 Podział układów logicznych...6 Cyfrowe układy funkcjonalne...8 Rejestry...8

Bardziej szczegółowo

LEKCJA TEMAT: Współczesne procesory.

LEKCJA TEMAT: Współczesne procesory. LEKCJA TEMAT: Współczesne procesory. 1. Wymagania dla ucznia: zna pojęcia: procesor, CPU, ALU, potrafi podać typowe rozkazy; potrafi omówić uproszczony i rozszerzony schemat mikroprocesora; potraf omówić

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

Architektura Systemów Komputerowych

Architektura Systemów Komputerowych Architektura Systemów Komputerowych Wykład 6: Budowa jednostki centralnej - CPU Dr inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Procesor jednocyklowy Procesor

Bardziej szczegółowo

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH

ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie ZASTOSOWANIE TRANSWERSALI HIPERGRAFÓW DO MINIMALIZACJI ROZMIARU PAMIĘCI JEDNOSTEK STERUJĄCYCH Monika

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Architektura Systemów Komputerowych. Jednostka ALU Przestrzeń adresowa Tryby adresowania

Architektura Systemów Komputerowych. Jednostka ALU Przestrzeń adresowa Tryby adresowania Architektura Systemów Komputerowych Jednostka ALU Przestrzeń adresowa Tryby adresowania 1 Jednostka arytmetyczno- logiczna ALU ALU ang: Arythmetic Logic Unit Argument A Argument B A B Ci Bit przeniesienia

Bardziej szczegółowo

LEKCJA TEMAT: Zasada działania komputera.

LEKCJA TEMAT: Zasada działania komputera. LEKCJA TEMAT: Zasada działania komputera. 1. Ogólna budowa komputera Rys. Ogólna budowa komputera. 2. Komputer składa się z czterech głównych składników: procesor (jednostka centralna, CPU) steruje działaniem

Bardziej szczegółowo

ARCHITEKTURA PROCESORA,

ARCHITEKTURA PROCESORA, ARCHITEKTURA PROCESORA, poza blokami funkcjonalnymi, to przede wszystkim: a. formaty rozkazów, b. lista rozkazów, c. rejestry dostępne programowo, d. sposoby adresowania pamięci, e. sposoby współpracy

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów Studia Podyplomowe INFORMATYKA Architektura komputerów Wykład I Podstawowe pojęcia 1, Cyfrowe dane 2 Wewnątrz komputera informacja ma postać fizycznych sygnałów dwuwartościowych (np. dwa poziomy napięcia,

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów synchronicznych

Sławomir Kulesza. Projektowanie automatów synchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów synchronicznych Wykład dla studentów III roku Informatyki Wersja 2.0, 20/12/2012 Automaty skończone Automat Mealy'ego Funkcja wyjść: Yt = f(st,

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Mikroprocesor Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 6 grudnia 2014 Zbudujmy własny mikroprocesor Bardzo prosty: 16-bitowy, 16 rejestrów

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

Sprzęt komputera - zespół układów wykonujących programy wprowadzone do pamięci komputera (ang. hardware) Oprogramowanie komputera - zespół programów

Sprzęt komputera - zespół układów wykonujących programy wprowadzone do pamięci komputera (ang. hardware) Oprogramowanie komputera - zespół programów Sprzęt komputera - zespół układów wykonujących programy wprowadzone do pamięci komputera (ang. hardware) Oprogramowanie komputera - zespół programów przeznaczonych do wykonania w komputerze (ang. software).

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Język VHDL w implementacji układów cyfrowych w FPGA/CPLD poziom podstawowy GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com Szczecin 2014

Bardziej szczegółowo

Architektura typu Single-Cycle

Architektura typu Single-Cycle Architektura typu Single-Cycle...czyli budujemy pierwszą maszynę parową Przepływ danych W układach sekwencyjnych przepływ danych synchronizowany jest sygnałem zegara Elementy procesora - założenia Pamięć

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop. 2017 Spis treści O autorze 9 Wprowadzenie 11 Rozdział 1. Sterownik przemysłowy 15 Sterownik S7-1200 15 Budowa zewnętrzna

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 8 Implementacja prostego

Bardziej szczegółowo

Projekt i weryfikacja praktyczna podstawowych bloków układów FPGA zbudowanych w oparciu o bramki prądowe

Projekt i weryfikacja praktyczna podstawowych bloków układów FPGA zbudowanych w oparciu o bramki prądowe Robert Berezowski Magdalena Rajewska Politechnika Koszalińska Wydział Elektroniki ul. Śniadeckich 2, 75-453 Koszalin email: beny@ie.tu.koszalin.pl Dariusz Gretkowski Piotr Pawłowski Projekt i weryfikacja

Bardziej szczegółowo

Kurs STARTER S5. Spis treści. Dzień 1. III Budowa wewnętrzna, działanie i obsługa sterownika (wersja 0504)

Kurs STARTER S5. Spis treści. Dzień 1. III Budowa wewnętrzna, działanie i obsługa sterownika (wersja 0504) I Dlaczego sterownik? (wersja 0504) Spis treści Dzień 1 I-3 Wady i zalety poszczególnych rodzajów układów sterowania I-4 Charakterystyka rodziny S5 I-5 II Podłączenie sterownika do obiektu (wersja 0504)

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie x i ={,} y i ={,} w., p. Bramki logiczne czas propagacji Odpowiedź na wyjściu bramki następuje po pewnym, charakterystycznym dla danego układu czasie od momentu zmiany sygnałów wejściowych.

Bardziej szczegółowo

Budowa Mikrokomputera

Budowa Mikrokomputera Budowa Mikrokomputera Wykład z Podstaw Informatyki dla I roku BO Piotr Mika Podstawowe elementy komputera Procesor Pamięć Magistrala (2/16) Płyta główna (ang. mainboard, motherboard) płyta drukowana komputera,

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo