Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Wielkość: px
Rozpocząć pokaz od strony:

Download "Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:"

Transkrypt

1 Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych

2 SPIS TREŚCI 1. Wymagania Przebieg ćwiczenia Przykład projektowy Weryfikacja projektu w środowisku Xilinx ISE Zadania Podsumowanie Literatura...14

3 1. WYMAGANIA Wykonanie niniejszego ćwiczenia wymaga od studenta posiadania wiedzy i umiejętności z zakresu: zasad działania oraz sposobów formalnego opisu przerzutników synchronicznych typu D i JK ze szczególnym uwzględnieniem tablicy wzbudzeń, własności i zastosowań automatów Moore a oraz Mealy ego do opisu układów sekwencyjnych, zasad działania i sposobu projektowania liczników synchronicznych zliczających w założonym zakresie liczenia, w różnych kierunkach (w przód, w tył, rewersyjnie) z wykorzystaniem różnych sposobów kodów i różnych przerzutników, podstaw posługiwania się narzędziem Xilinx ISE, a w szczególności tworzenia projektu opartego na schemacie, wykonania operacji implementacji, przeprowadzenia symulacji opartej na jednostce testowej (ang. test bench) implementowanej w języku VHDL. 2. PRZEBIEG ĆWICZENIA Projektowanie liczników synchronicznych jest zagadnieniem bardzo obszernym i jako takie jest szeroko omawiane w dostępnej literaturze. Niniejsze ćwiczenie ma na celu jedynie wstępne przedstawienie zasady działania, struktur oraz elementów projektowania różnorodnych liczników synchronicznych. W ramach wstępu do praktycznych ćwiczeń zaprezentowany zostanie przykład projektowy wybranego licznika synchronicznego. Liczniki synchroniczne stanowią pewien podzbiór synchronicznych układów sekwencyjnych. Jednak w stosunku do ogólnych metod projektowania układów sekwencyjnych projektowanie liczników charakteryzuje się szeregiem uproszczeń. Licznik, o którym mowa w przykładzie jest układem, który nie posiada wejścia. W tym sensie stanowi więc układ autonomiczny. W omawianym przypadku redukcji ulega także funkcja wyjścia, bowiem wyjściem układu jest wektor stanów wewnętrznych, reprezentujący kolejne wartości zliczanych impulsów zegarowych. Z punktu widzenia teorii automatów, wejście zegarowe nie może być traktowane jako wejście układu. W znaczeniu praktycznym sygnał zegarowy jest właśnie tym wejściem, którego zmiany będą rejestrowane przez układ licznika PRZYKŁAD PROJEKTOWY Zadanie Zaprojektuj synchroniczny licznik binarny trzybitowy (modulo 8) oparty na przerzutnikach typu JK zliczający wstecz. Ze względu na brak wejść, opis za pomocą grafu nie jest zbyt przydatny i dlatego też zastosowany zostanie opis w postaci tabeli stanów. W przypadku licznika nie występuje także proces kodowania stanów, a w konkretnym omawianym przykładzie nie będą realizowane także funkcje wyjścia. W celu wypełnienia tablic Karnaugh konieczne będzie posłużenie się tablicą wzbudzeń przerzutnika JK, znaną np. z wykonanych wcześniej ćwiczeń laboratoryjnych. Wszystkie założenia projektowe zawarte są w treści zadania. Licznik binarny trzybitowy determinuje konieczność użycia trzech przerzutników, których rodzaj jest również określony w treści zadania. W procesie projektowym znany jest także arbitralnie przyjęty model ogólnej struktury układu przedstawiony na rys. 1, w którym zespół przerzutników taktowany jest wspólnym sygnałem zegarowym. Na wyjścia licznika składają się wyjścia poszczególnych przerzutników. Projektowanie licznika w praktyce sprowadza się zatem do wyznaczenia zbioru funkcji logicznych nazywanych funkcjami przejść i. Strona 3 z 14

4 Rys. 1. Ogólna struktura układu licznika synchronicznego opartego na przerzutnikach JK W szczególnym przypadku funkcje dla poszczególnych wejść kolejnych przerzutników mogą być funkcjami tożsamościowymi lub stałymi, co oznacza np. dołączenie wybranego wyjścia przerzutnika (w postaci prostej lub zanegowanej) lub logicznego 0, lub 1 do wejścia J lub K innego przerzutnika. Projektowanie licznika o parametrach określonych w zadaniu należy rozpocząć od skonstruowania tablicy przejść. Tabela 1: Tablica przejść ilustrująca działanie licznika Q n Q n+1 q 2 q W kolumnie Q n podano stan bieżący układu, na który to stan składają się wyjścia poszczególnych przerzutników, zaś kolumna Q n+1 zawiera stan następny układu, który jest konsekwencją pojawienia się impulsu taktującego na wejściu zegarowym układu. Licznik zliczający wstecz działa w ten sposób, że jeśli na jego wyjściach pojawia się kombinacja binarna odpowiadająca liczbie n, to w kolejnym takcie zegara na wyjściu powinna pojawić się liczba n-1. Licznik powinien działać bez zatrzymania, czyli po osiągnięciu wartości minimalnej powinien rozpocząć zliczanie od wartości maksymalnej w dół. Przy czym należy zauważyć, że powyższą tablicę przejść trzeba czytać wierszami, obserwując zmiany stanu z bieżącego na następny. Wobec jeśli na wyjściu licznika w stanie bieżącym występuje kombinacja 000, to w stanie następnym powinna się pojawić kombinacja 111. W kolejnym kroku wykorzystana zostanie tablica wzbudzeń przerzutnika JK (tablica 2). Dzięki niej możliwe będzie określenie wartości logicznych koniecznych do dostarczenia na wejścia J oraz K poszczególnych przerzutników tak, aby uzyskać wymaganą zmianę stanów ich wyjść zgodnie z tablicą przejść licznika. Strona 4 z 14

5 Następny etap projektowania licznika polega na wyznaczeniu funkcji przejść. W omawianym przykładzie należy wyznaczyć po jednej funkcji na każde wejście wszystkich przerzutników. Trzy bity licznika wymagają trzech przerzutników, z których każdy posiada dwa wejścia (J, K). Łacznie potrzebne będzie wyznaczenie sześciu funkcji przejść za pomocą sześciu tablic Karnaugh. Tabela 2: Tablica wzbudzeń przerzutnika JK Q n Q n+1 J K X X 1 0 X X 0 Sposób wyznaczania wartości dla poszczególnych funkcji przejść podano na rys. 2. Przedstawiono tam schemat wraz z objaśnieniami wypełniania dwóch tablic Karnaugh jednocześnie dla przerzutnika nr 3. Strzałkami zaznaczono mechanizm wypełniania pierwszej kratki obu tablic Karnaugh dla argumentów =0, q 2 =0, =0. Pozostałe pola wypełniane są w sposób analogiczny, tzn. po przejściu do kolejnego wiersza należy sprawdzić zmianę wartości dla kolumn odpowiednio oraz. Po wypełnieniu tablic dla wejść J i K przerzutnika Q3 procedura ulega powtórzeniu, przy czym obserwuje się zmienność wartości dla kolumn q 2 oraz q 2, a następnie q 1 oraz q 1. J 3 Q n Q n+1 q 2 q K 3 Q n Q n+1 J K X X 1 0 X X X X X X 0 X X X X Rys. 2. Schemat wyznaczania wartości funkcji przejść Po utworzeniu zestawu tablic Karnaugh dokonujemy minimalizacji wszystkich funkcji logicznych. W poniższym zestawie tablic (Tabele od 3 do 5) pod odpowiednimi tablicami zamieszczono wyznaczone funkcje przejść. Funkcje te następnie należy zaimplementować wewnątrz odpowiednich bloków funkcji logicznych z rysunku 1. Strona 5 z 14

6 Tabela 3: Tablice Karnaugh dla funkcji oraz J 3 K X X X X J 3 = q2 q1 0 X X X X K 3 = q2 q1 Tabela 4: Tablice Karnaugh dla funkcji oraz J 2 K X X X X J 2 = q 1 0 X X X X 0 1 K 2 = q 1 Tabela 5: Tablice Karnaugh dla funkcji oraz J 1 K X X X X 1 J 1 = 1 0 X 1 1 X 1 X 1 1 X K 1 = 1 Po wyznaczeniu wszystkich funkcji przejść możliwe jest skonstruowanie licznika wg znanej struktury. Dzięki relatywnie nieskomplikowanym funkcjom logicznym układ licznika trzybitowego liczącego wstecz przyjmie postać jak na rys. 3 Rys. 3. Schemat zaprojektowanego licznika binarnego trzybitowego (modulo 8) zliczającego wstecz Układ ten może zostać następnie wprowadzony do środowiska projektowego Xilinx ISE w postaci schematu oraz sprawdzony pod względem funkcjonalnym w sposób analogiczny do poprzednich zajęć laboratoryjnych. Strona 6 z 14

7 2.2. WERYFIKACJA PROJEKTU W ŚRODOWISKU XILINX ISE UWAGA: Przed rozpoczęciem pracy z komputerem należy bezwzględnie wykonać proces mapowania dysku sieciowego zgodnie z dodatkową instrukcją znajdującą się na stanowisku laboratoryjnym. 1. Uruchom skrót Xilinx ISE Design Suite. znajdujący się na pulpicie. Jeśli brak odpowiedniego skrótu, uruchom Start Programy Xilinx ISE Design Suite 13.2 ISE Design Tools Project Navigator. Jeśli po uruchomieniu środowiska automatycznie otwarty zostanie projekt, zamknij go za pomocą opcji menu FIle Close Project. 2. Utwórz nowy projekt za pomocą opcji File New Project. W oknie dialogowym wpisz nazwę projektu, zwracając uwagę na lokalizację nowego projektu na zmapowanym dysku sieciowym użytkownika oraz wybierz Schematic jako typ źródła najwyższego poziomu (Toplevel source type) Rys. 4. Okno kreatora nowego projektu 3. W kolejnym oknie dialogowym ustaw opcje zgodnie z rys. 5. Zwróć uwagę, aby ustawić opcje dotyczące platformy sprzętowej w celu uniknięcia ew. komplikacji w późniejszej fazie projektu. Strona 7 z 14

8 Rys. 5. Okno ustawień projektu 4. Następnie zostanie wyświetlone okno podsumowania kreatora projektu (rys. 6). Zatwierdź je przyciskiem Finish. Rys. 6. Okno podsumowania kreatora projektu Strona 8 z 14

9 5. W oknie Design kliknij prawym klawiszem myszy na pozycji oznaczającej platformę sprzętową (xc2c256) i wybierz opcję New Source... (rys. 7) Rys. 7. Operacja dodawania nowego źródła do projektu 6. W oknie z rys. 8 określ typ źródła jako Schematic, nadaj mu dowolną nazwę i wciśnij przycisk Next, a następnie zatwierdź okno podsumowania pliku źródłowego za pomocą przycisku Finish. Rys. 8. Utworzenie nowego źródła w postaci schematu 7. Utwórz schemat korzystając z elementów FJKC (przerzutnik JK) oraz odpowiednich bramek, korzystając z panelu Symbols (rys. 9). Strona 9 z 14

10 Rys. 9. Panel Symbols 8. Następnie połącz elementy umieszczone na schemacie korzystając z narzędzia Add Wire oraz dodaj porty We/Wy przy pomocy Add I/O Marker i zmień nazwy portów klikając prawym klawiszem myszy na wybranym porcie i wybierając z menu podręcznego opcję Rename Port (rys. 10) Rys. 10. Przybornik narzędziowy w oknie schematu 9. Przykładowy widok ukończonego schematu przedstawiono na rys. 11 Strona 10 z 14

11 Rys. 11. Schemat licznika utworzony w oknie schematu 10. Następnie przełącz tryb widoku w panelu Design na Simulation i dodaj nowe źródło w postaci jednostki testowej (Test Bench) do projektu (rys. 12). Rys. 12. Dodawanie nowej jednostki testowej do projektu 11. Określ typ dodawanego źródła jako VHDL Test Bench i nadaj nazwę plikowi zawierającemu jednostkę testową oraz kliknij przycisk Next (rys. 13). W kolejnym oknie dialogowym zatwierdź powiązanie nowej jednostki testowej z układem licznika wprowadzonym uprzednio w postaci schematu. Przyciskiem Next przejdź do kolejnego kroku i zatwierdź okno podsumowania za pomocą przycisku Finish. Strona 11 z 14

12 Rys. 13. Utworzenie nowego źródła w postaci jednostki testowej 12. Po utworzeniu jednostki testowej w edytorze automatycznie powinien ukazać się kod VHDL jednostki testowej w postaci podobnej jak na wydruku 1. ARCHITECTURE behavioral OF counter_1_counter_1_sch_tb IS COMPONENT counter_1 PORT( X1 : OUT STD_LOGIC; X2 : OUT STD_LOGIC; CLK : IN STD_LOGIC; X3 : OUT STD_LOGIC); END COMPONENT; SIGNAL X1 : SIGNAL X2 : SIGNAL CLK : SIGNAL X3 : STD_LOGIC; STD_LOGIC; STD_LOGIC; STD_LOGIC; BEGIN UUT: counter_1 PORT MAP( X1 => X1, X2 => X2, CLK => CLK, X3 => X3 ); -- *** Test Bench - User Defined Section *** tb : PROCESS BEGIN WAIT; -- will wait forever END PROCESS; -- *** End Test Bench - User Defined Section *** END; Wydruk 1: Fragment kodu automatycznie utworzonej jednostki testowej Strona 12 z 14

13 13. Pomiędzy słowami kluczowymi BEGIN oraz END PROCESS wyróżnionymi na powyższym wydruku czcionką pogrubioną umieść fragment kodu przedstawiony na wydruku 2, pamiętając o zapisaniu pliku. -- *** Test Bench - User Defined Section *** tb : PROCESS BEGIN for i in 0 to 20 loop clk <= '0'; WAIT for 1 ns; clk <= '1'; WAIT for 1 ns; end loop; --WAIT; -- will wait forever END PROCESS; -- *** End Test Bench - User Defined Section *** Wydruk 2: Kod jednostki testującej uzupełniony o kod umożliwiający weryfikację funkcjonalną zaprojektowanego licznika 14. Następnie w panelu Design w bloku Hierarchy podświetl plik z jednostką projektową i w bloku Processes kliknij dwukrotnie opcję Simulate Behavioral Model (rys. 14). Rys. 14. Panel Design umożliwiający uruchomienie procesu symulacji układu licznika Jeśli proces uruchomienia symulacji przebiegnie bez błędów, powinno automatycznie ukazać okno programu ISim (rys. 15). Przeskaluj widok przebiegów czasowych na ekranie tak, aby możliwa była obserwacja wszystkich przebiegów czasowych licznika wyznaczonych przez jednostkę projektową. Przeanalizuj poprawność działania licznika na podstawie otrzymanych wykresów czasowych. Rys. 15. Fragment okna programu ISim z widocznymi przebiegami czasowymi testowanego licznika Strona 13 z 14

14 3. ZADANIA Zadanie 1 Zaprojektuj dwubitowy licznik synchroniczny, zliczający w przód w kodzie binarnym oparty na przerzutnikach typu JK. Przedstaw odpowiednie tablice ilustrujące proces projektowania, wyznacz funkcje przejść układu oraz wykonaj weryfikację poprawności działania układu przy pomocy narzędzia Xilinx ISE. Zadanie 2 Zaprojektuj trzybitowy licznik synchroniczny zliczający wstecz w kodzie binarnym oparty na przerzutnikach typu D. Przedstaw odpowiednie tablice ilustrujące proces projektowania, wyznacz funkcje przejść układu oraz wykonaj weryfikację poprawności działania układu przy pomocy narzędzia Xilinx ISE. Zadanie 3 Zaprojektuj trzybitowy licznik synchroniczny rewersyjny (zliczający w przód lub wstecz) oparty na przerzutnikach typu JK. Przedstaw odpowiednie tablice ilustrujące proces projektowania, wyznacz funkcje przejść układu oraz wykonaj weryfikację poprawności działania układu przy pomocy narzędzia Xilinx ISE. WSKAZÓWKI: 1. Do zaprojektowania licznika rewersyjnego konieczne jest zastosowanie dodatkowego wejścia, które określa kierunek zliczania. Można przyjąć, że stan niski na wejściu kierunku oznacza zliczanie w przód, natomiast stan wysoki oznacza zliczanie wstecz. 2. Wejście określające kierunek zliczania powinno stanowić jeden z argumentów dla funkcji przejść wraz ze stanem wewnętrznym układu reprezentowanym przez wyjścia poszczególnych przerzutników. 4. PODSUMOWANIE W wyniku przeprowadzonego ćwiczenia, a także ćwiczeń poprzednich student powinien nabyć bądź utrwalić następujące umiejętności: projektowanie liczników synchronicznych opartych na przerzutnikach typu D oraz JK zliczających w przód oraz wstecz, posługiwanie się narzędziem Xilinx ISE w zakresie utworzenia schematu oraz przeprowadzenia elementarnego testowania układu za pomocą pliku zawierającego jednostkę testującą (test bench). 5. LITERATURA [1] Kalisz J.: Podstawy elektroniki cyfrowej, WNT, Warszawa 1999 [2] Pieńkos J., Turczyński J.: Układy scalone TTL w systemach cyfrowych, WKŁ, Warszawa 1986 [3] Wilkinson B.: Układy Cyfrowe, WKŁ, Warszawa 2000 [4] Zwoliński M., Projektowanie układów cyfrowych z wykorzystaniem języka VHDL, WKŁ, Warszawa 2002 Strona 14 z 14

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 8 Temat: Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Sterownik kompaktowy Theben PHARAO II

Sterownik kompaktowy Theben PHARAO II Wydział Elektroniki Politechniki Wrocławskiej Laboratorium Automatyki Budynkowej Sterownik kompaktowy Theben PHARAO II 1. Wstęp Pherao II jest niewielkim sterownikiem kompaktowym, który charakteryzuje

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Zadanie 1. Stosowanie stylów

Zadanie 1. Stosowanie stylów Zadanie 1. Stosowanie stylów Styl to zestaw elementów formatowania określających wygląd: tekstu atrybuty czcionki (tzw. styl znaku), akapitów np. wyrównanie tekstu, odstępy między wierszami, wcięcia, a

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów. Rafał Walkowiak Wersja /2015

Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów. Rafał Walkowiak Wersja /2015 Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów synchronicznych Rafał Walkowiak Wersja.2 24/25 UK Funkcje wzbudzeń UK Funkcje wzbudzeń Pamieć Pamieć UK Funkcje wyjściowe

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 2. Analiza wielkosygnałowa Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse).

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Symulacje inwertera CMOS

Symulacje inwertera CMOS Rozdział: Przygotowanie środowiska Symulacje inwertera CMOS * punktu opcjonalne 1 Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse)*. 2. Otwórz konsole wykonując następujące kroki*

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWANIA

INSTRUKCJA UŻYTKOWANIA INSTRUKCJA UŻYTKOWANIA KOMPILATORA UKŁADÓW CYFROWYCH ACTIVE CAD Opis układów przy pomocy edytora schematów Opracował dr inż. Piotr Kawalec Warszawa, 2000 rok SPIS TREŚCI str. 1. WSTĘP... 3 2. TWORZENIE

Bardziej szczegółowo

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 1. Układy kombinacyjne i sekwencyjne - przypomnienie

SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 1. Układy kombinacyjne i sekwencyjne - przypomnienie SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 1 Układy kombinacyjne i sekwencyjne - przypomnienie SWB - Projektowanie synchronicznych układów sekwencyjnych - wykład 5 asz 2 Stan

Bardziej szczegółowo

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne Załącznik nr do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

Technika Cyfrowa 1 wykład 12: sekwencyjne układy przełączające

Technika Cyfrowa 1 wykład 12: sekwencyjne układy przełączające Technika Cyfrowa 1 wykład 12: sekwencyjne układy przełączające Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sekwencyjny układ przełączający układ przełączający

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW e-version: dr inż. Tomasz apłon INTYTUT YBENETYI TEHNIZNE PLITEHNII WŁAWIE ZAŁA ZTUZNE INTELIGENI I AUTMATÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 23 temat: UŁAY EWENYNE. EL ĆWIZENIA

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Licznik binarny Licznik binarny jest najprostszym i najpojemniejszym licznikiem. Kod 4 bitowego synchronicznego licznika binarnego

Bardziej szczegółowo

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia Opracował: mgr inż. Antoni terna ATEDA INFOMATYI TEHNIZNE Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 203 Temat: Układy sekwencyjne 1. el ćwiczenia elem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

ACCESS ćwiczenia (zestaw 1)

ACCESS ćwiczenia (zestaw 1) ACCESS ćwiczenia (zestaw 1) KWERENDY Ćw. 1. Na podstawie tabeli PRACOWNICY przygotować kwerendę, która wybiera z obiektu źródłowego pola Nazwisko, Imię, KODdziału i Stawka. (- w oknie bazy danych wybrać

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Przedszkolaki Przygotowanie organizacyjne

Przedszkolaki Przygotowanie organizacyjne Celem poniższego ćwiczenia jest nauczenie rozwiązywania zadań maturalnych z wykorzystaniem bazy danych. Jako przykład wykorzystano zadanie maturalne o przedszkolakach z matury w 2015 roku. Przedszkolaki

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Poniższy przykład przedstawia prosty sposób konfiguracji komunikacji między jednostkami centralnymi LOGO! w wersji 8 w sieci Ethernet.

Poniższy przykład przedstawia prosty sposób konfiguracji komunikacji między jednostkami centralnymi LOGO! w wersji 8 w sieci Ethernet. Poniższy przykład przedstawia prosty sposób konfiguracji komunikacji między jednostkami centralnymi LOGO! w wersji 8 w sieci Ethernet. Przygotowanie urządzeń W prezentowanym przykładzie adresy IP sterowników

Bardziej szczegółowo

Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows XP

Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows XP 5.0 5.3.3.7 Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows XP Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium, będziesz korzystać z narzędzi administracyjnych

Bardziej szczegółowo

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie Laboratorium Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie programowalnym FPGA. 1. Zasada działania algorytmów Algorytm Vernam a wykorzystuje funkcję

Bardziej szczegółowo

Laboratorium - Udostępnianie folderu, tworzenie grupy domowej i mapowanie dysku sieciowego w Windows 7

Laboratorium - Udostępnianie folderu, tworzenie grupy domowej i mapowanie dysku sieciowego w Windows 7 5.0 6.8.4.7 Laboratorium - Udostępnianie folderu, tworzenie grupy domowej i mapowanie dysku sieciowego w Windows 7 Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium utworzysz i udostępnisz

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Adobe InDesign lab.1 Jacek Wiślicki, Paweł Kośla. Spis treści: 1 Podstawy pracy z aplikacją Układ strony... 2.

Adobe InDesign lab.1 Jacek Wiślicki, Paweł Kośla. Spis treści: 1 Podstawy pracy z aplikacją Układ strony... 2. Spis treści: 1 Podstawy pracy z aplikacją... 2 1.1 Układ strony... 2 strona 1 z 7 1 Podstawy pracy z aplikacją InDesign jest następcą starzejącego się PageMakera. Pod wieloma względami jest do niego bardzo

Bardziej szczegółowo

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212

KATEDRA INFORMATYKI TECHNICZNEJ. Ćwiczenia laboratoryjne z Logiki Układów Cyfrowych. ćwiczenie 212 KATEDRA INFORMATYKI TECHNICZNEJ Ćwiczenia laboratoryjne z Logiki ów Cyfrowych ćwiczenie Temat: Automat asynchroniczny. Cel ćwiczenia Celem ćwiczenia jest nabycie praktycznej umiejętności projektowania

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

5.3. Tabele. Tworzenie tabeli. Tworzenie tabeli z widoku projektu. Rozdział III Tworzenie i modyfikacja tabel

5.3. Tabele. Tworzenie tabeli. Tworzenie tabeli z widoku projektu. Rozdział III Tworzenie i modyfikacja tabel 5.3. Tabele Tabela jest podstawowym elementem bazy danych. To właśnie w tabelach gromadzone są w bazie rekordy danych. Projektując tabelę, definiujemy, jakie pola będzie zawierał pojedynczy rekord informacji.

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

OPIS PROGRAMU USTAWIANIA NADAJNIKA TA105

OPIS PROGRAMU USTAWIANIA NADAJNIKA TA105 OPIS PROGRAMU USTAWIANIA NADAJNIKA TA105 Parametry pracy nadajnika TA105 są ustawiane programowo przy pomocy komputera osobistego przez osoby uprawnione przez operatora, które znają kod dostępu (PIN).

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść,

zmiana stanu pamięci następuje bezpośrednio (w dowolnej chwili czasu) pod wpływem zmiany stanu wejść, Sekwencyjne układy cyfrowe Układ sekwencyjny to układ cyfrowy, w którym zależność między wartościami sygnałów wejściowych (tzw. stan wejść) i wyjściowych (tzw. stan wyjść) nie jest jednoznaczna. Stan wyjść

Bardziej szczegółowo

KGGiBM GRAFIKA INŻYNIERSKA Rok III, sem. VI, sem IV SN WILiŚ Rok akademicki 2011/2012. Przygotowanie do druku

KGGiBM GRAFIKA INŻYNIERSKA Rok III, sem. VI, sem IV SN WILiŚ Rok akademicki 2011/2012. Przygotowanie do druku Przygotowanie do druku Polecenie: Narysować dołączony do ćwiczenia rysunek (na ostatniej stronie!) zgodnie z wytycznymi. Przygotować rysunek do wydruku tak, aby przypominał przedstawiony na rysunku poniżej.

Bardziej szczegółowo

Access - Aplikacja. Tworzenie bazy danych w postaci aplikacji

Access - Aplikacja. Tworzenie bazy danych w postaci aplikacji Tworzenie bazy danych w postaci aplikacji Access - Aplikacja 1. Otwórz plik zawierający bazę danych Wypożyczalni kaset video o nazwie Wypożyczalnia.mdb. 2. Utworzy kwerendę, która wyświetli tytuły i opisy

Bardziej szczegółowo

16) Wprowadzenie do raportowania Rave

16) Wprowadzenie do raportowania Rave 16) Wprowadzenie do raportowania Rave Tematyka rozdziału: Przegląd wszystkich komponentów Rave Tworzenie nowego raportu przy użyciu formatki w środowisku Delphi Aktywacja środowiska Report Authoring Visual

Bardziej szczegółowo

Laboratorium - Zabezpieczanie kont, danych i komputera w systemie Windows 7

Laboratorium - Zabezpieczanie kont, danych i komputera w systemie Windows 7 5.0 10.2.1.7 Laboratorium - Zabezpieczanie kont, danych i komputera w systemie Windows 7 Wydrukuj i uzupełnij to laboratorium. W tym laboratorium, będziesz badać, jak zabezpieczyć konta, dane i komputer

Bardziej szczegółowo

Wprowadzanie danych organizacyjnych szkoły

Wprowadzanie danych organizacyjnych szkoły W systemie Uczniowie Optivum NET bazę danych zakłada się w programie Sekretariat Optivum. W programie tym wprowadza się większość danych dotyczących organizacji szkoły: opisuje się jednostki, tworzy okresy

Bardziej szczegółowo

Laboratorium - Zabezpieczanie kont, danych i komputera w systemie Windows XP

Laboratorium - Zabezpieczanie kont, danych i komputera w systemie Windows XP 5.0 10.2.1.9 Laboratorium - Zabezpieczanie kont, danych i komputera w systemie Windows XP Wydrukuj i uzupełnij to laboratorium. W tym laboratorium, będziesz badać, jak zabezpieczyć konta, dane i komputer

Bardziej szczegółowo

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia nowego projektu (poniżej są utworzone projekty) Po kliknięciu

Bardziej szczegółowo

Ćwiczenia nr 4. Arkusz kalkulacyjny i programy do obliczeń statystycznych

Ćwiczenia nr 4. Arkusz kalkulacyjny i programy do obliczeń statystycznych Ćwiczenia nr 4 Arkusz kalkulacyjny i programy do obliczeń statystycznych Arkusz kalkulacyjny składa się z komórek powstałych z przecięcia wierszy, oznaczających zwykle przypadki, z kolumnami, oznaczającymi

Bardziej szczegółowo

Księgowość Optivum. Usunięcie znaczników z wyżej wymienionych pól umożliwia dokonanie indywidualnego wyboru elementów

Księgowość Optivum. Usunięcie znaczników z wyżej wymienionych pól umożliwia dokonanie indywidualnego wyboru elementów Księgowość Optivum Jak wykonać zestawienie Rb-27S? Aby przygotować zestawienie Rb-27S, wykonaj następujące czynności: 1. Z menu Zestawienia wybierz pozycję Budżetowe. 2. W oknie Zestawienie budżetowe na

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

Sławomir Kulesza. Projektowanie automatów synchronicznych

Sławomir Kulesza. Projektowanie automatów synchronicznych Sławomir Kulesza Technika cyfrowa Projektowanie automatów synchronicznych Wykład dla studentów III roku Informatyki Wersja 2.0, 20/12/2012 Automaty skończone Automat Mealy'ego Funkcja wyjść: Yt = f(st,

Bardziej szczegółowo

Pracownia internetowa w każdej szkole (edycja Jesień 2007)

Pracownia internetowa w każdej szkole (edycja Jesień 2007) Instrukcja numer D1/05_03/Z Pracownia internetowa w każdej szkole (edycja Jesień 2007) Opiekun pracowni internetowej cz. 1 Ręczne zakładanie kont użytkowników (D1) Jak ręcznie założyć konto w systemie

Bardziej szczegółowo

Instalacja i obsługa generatora świadectw i arkuszy ocen

Instalacja i obsługa generatora świadectw i arkuszy ocen Instalacja i obsługa generatora świadectw i arkuszy ocen 1. Uruchom plik setup.exe 2. Pojawi się okno instalacji programu. Program wybierze miejsce instalacji, np. C:\Users\Ewa\AppData\Roaming\Generator

Bardziej szczegółowo

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia.

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Spis treści 1. Instalacja 2. Konfiguracja 3. Pomiar 4. Zarządzanie danymi 1. Instalacja. W celu rozpoczęcia pracy z urządzeniem FastTracer

Bardziej szczegółowo

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert)

Rys.1. Technika zestawiania części za pomocą polecenia WSTAWIAJĄCE (insert) Procesy i techniki produkcyjne Wydział Mechaniczny Ćwiczenie 3 (2) CAD/CAM Zasady budowy bibliotek parametrycznych Cel ćwiczenia: Celem tego zestawu ćwiczeń 3.1, 3.2 jest opanowanie techniki budowy i wykorzystania

Bardziej szczegółowo

Aplikacja do podpisu cyfrowego npodpis

Aplikacja do podpisu cyfrowego npodpis ABS Bank Spółdzielczy Aplikacja do podpisu cyfrowego npodpis (instrukcja użytkownika) Wersja 1.0 http://www.absbank.pl 1. Aplikacja do podpisu cyfrowego - npodpis Słownik pojęć: Aplikacja do podpisu cyfrowego

Bardziej szczegółowo

Rozdział 4: PIERWSZE KROKI

Rozdział 4: PIERWSZE KROKI Rozdział 4: PIERWSZE KROKI 4. Pierwsze kroki 4.1. Uruchomienie programu Program najłatwiej uruchomić za pośrednictwem skrótu na pulpicie, choć równie dobrze możemy tego dokonać poprzez Menu Start systemu

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo