Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Wielkość: px
Rozpocząć pokaz od strony:

Download "Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna"

Transkrypt

1 Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver a

2 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do pracy na wszystkich etapach przygotowania projektu układu cyfrowego oraz jego implementacji w układzie CPLD lub FPGA. Uruchamiane jest ikoną Xilinx ISE 10.1, umieszczoną na pulpicie systemu Windows, bądź z menu Start o tej samej nazwie. Podstawową platformą środowiska jest aplikacja Project Nawigator, z której, uruchamiając inne składniki pakietu ISE, można edytować pliki źródłowe projektu, sterować procesem syntezy i implementacji oraz zaprogramować układ poprzez interfejs JTAG. Widoczne na rys. 1 okno główne aplikacji Project Nawigator dzieli się na 4 ogólne obszary: (a) drzewo plików źródłowych Sources przedstawiające w formie hierarchicznej wszystkie elementy źródłowe projektu; (b) drzewo procesów Processes wyświetlające operacje dostępne dla elementu źródłowego aktualnie wybranego w oknie (a); (c) konsola wyświetlająca komunikaty generowane przez procesy przetwarzające elementy źródłowe; (d) obszar roboczy, używany do edycji plików projektu, wizualizacji wyników itp. Pole Sources for: w oknie źródłowym (a) decyduje o tym, jakiego typu składniki projektu są w nim wyświetlane. Możliwe opcje to: synteza/implementacja, symulacja behawioralna oraz symulacja projektu post-fit (zaimplementowanego w strukturze układu programowalnego). (a) (d) (b) (c) Rys. 1 Okno główne środowiska ISE: (a) elementy źródłowe projektu, (b) okno procesów, (c) okno konsoli, (d) obszar roboczy. 1

3 Rys. 2 Okno właściwości procesu Implement Design Fit. Zawartość okna procesów (b) zależy od tego, jakiego rodzaju element jest aktualnie wybrany w oknie plików źródłowych (a). Większość operacji podczas pracy nad projektem wykonuje się wybierając najpierw odpowiedni plik źródłowy w oknie (a) (co może wymagać przełączenia opcji Sources for: ) i następnie uruchamiając żądany proces w oknie (b). Uruchomienie procesu widocznego w oknie (b) możliwe jest przez jego dwukrotne kliknięcie. Środowisko ISE domyślnie wykonuje wówczas proces w trybie automake, tzn. przed jego wywołaniem aktualizuje pliki wynikowe kroków wcześniejszych, o ile jest to potrzebne (decyduje porównanie daty utworzenia plików wynikowych oraz źródłowych). Polecenie Rerun All w menu kontekstowym procesu powoduje bezwarunkowe powtórzenie wszystkich poprzednich kroków projektowych. Jest to zalecona metoda odświeżenia stanu projektu po wprowadzeniu wielu zmian, które nie zawsze są poprawnie wykrywane przez mechanizm automake. Po zaznaczeniu głównego pliku źródłowego projektu w oknie (a) ( Sources for: Implementation ) oraz po dwukrotnym kliknięciu procesu Implement Design Configure Target Device w oknie (b), zostaną wykonane wszystkie wymagane kroki syntezy i implementacji, po czym w przypadku ich poprawnej realizacji zostanie uruchomiony program konfigurujący impact (rozdz. 2.5). Do przywrócenia domyślnego rozkładu okien w aplikacji Project Nawigator służy polecenie View Restore Default Layout. Często przed uruchomieniem procesu konieczne jest ustawienie jego specyficznych parametrów. Wykonuje się to poprzez okno właściwości (przykład na rys. 2), otwierane poleceniem Properties z menu kontekstowego procesu, które pojawia się po kliknięciu na nim prawym klawiszem myszy. W każdym programie środowiska ISE polecenie menu Help Online Documentation otwiera dokument z odnośnikami do pełnej dokumentacji elektronicznej PDF Xilinx ISE Software Manuals. Ponadto, dodatkowe informacje na temat interfejsu konkretnych programów dostępne są w systemie pomocy MS Windows otwieranym poleceniem Help Help Topics. 2

4 UWAGI OGÓLNE 1) W ścieżce katalogu z projektem ISE nie mogą występować odstępy (w szczególności więc nie należy umieszczać projektów na pulpicie Windows!). 2) Nazwy niektórych plików źródłowych są używanie jako identyfikatory w kodzie VHDL i należy tworzyć je tak, aby spełniały odpowiednie warunki (muszą rozpoczynać się od litery oraz nie mogą zawierać żadnych znaków innych niż litery, cyfry oraz znak podkreślenia _ ). Błędy tego rodzaju w nazwach plików są szczególnie dotkliwe, gdyż nie są sygnalizowane przez program, a objawiają się dopiero złą praca niektórych jego narzędzi. 3) Jeśli aplikacja Project Navigator została zamknięta awaryjnie wskutek błędu wewnętrznego, należy sprawdzić czy pozostał po niej proces _pn.exe i w razie potrzeby zamknąć go ręcznie. 2 Synteza układu opisanego w postaci schematowej Rozdział ten omawia główne kroki przy projektowaniu układu, którego opis zadany jest w postaci schematu logicznego. 2.1 Utworzenie nowego projektu 1º Wybierz File New Project. W pierwszym oknie parametrów projektu podaj jego nazwę, lokalizację oraz rodzaj głównego pliku źródłowego Top-Level Source Type ; w tym przypadku wybierz Schematic. 2º W drugim oknie parametrów wybierz kategorię oraz rodzinę układów, konkretne urządzenie, typ obudowy oraz gradację szybkości. Pozostałe parametry: Synthesis Tool = XST (VHDL/Verilog) Simulator = Modelsim XE VHDL Preferred Language = VHDL Naciśnij klawisz Next. 3º Utwórz nowy plik ze schematem: klawisz New Source rodzaj pliku Schematic, wpisz nazwę pliku, pozostaw opcję Add to project włączoną, naciśnij Next i potwierdź wpisane parametry w kolejnym oknie. 4º W kolejnym oknie Add existing sources nie wykonuj żadnych operacji; naciśnij klawisz Next. 5º Sprawdź opcje tworzonego projektu i zatwierdź klawiszem Finish. 2.2 Edycja schematu Po utworzeniu nowego projektu wg powyższych kroków środowisko automatycznie wywołuje edytor schematów ECS. Dla schematu już istniejącego w projekcie edytor ten można uruchomić klikając dwukrotnie jego plik (.sch) w oknie elementów źródłowych projektu. Domyślnie edytor jest wyświetlany jako podokno w obszarze roboczym nawigatora, ale możliwa jest także jego praca niezależna (rys. 3). Przełączenie się pomiędzy tymi dwoma trybami pracy umożliwiają klawisze oraz. 3

5 Rys. 3 Edytor schematów ECS. Podczas edycji schematu należy umieszczać symbole elementów logicznych wybrane w zakładce Symbols po lewej stronie obszaru roboczego (klawisz na pasku narzędzi). Do rysowania połączeń służy polecenie menu Add Wire ( ), własne nazwy można im nadawać poleceniem Add Net Name ( ). Sygnały WE/WY projektu należy umieścić na szczytowym schemacie jako znaczniki WE/WY ( Add I/O Marker ; ). Dwukrotne kliknięcie dowolnego elementu na schemacie otwiera okno z jego atrybutami; poprzez edycję atrybutów portu WE/WY można nadać mu nazwę własną oraz ustalić kierunek (WE lub WY). Opisy wszystkich elementów logicznych zawarte są w dokumencie Libraries Guide dostępnym w systemie pomocy jako plik PDF. Opisy poszczególnych elementów można wywołać klawiszem Symbol Info. Elementy vcc oraz gnd są źródłami sygnałów logicznej 1 oraz 0. Na rysunku 3 widać zasadę konstruowania magistral sygnałowych: rozmiar magistrali należy podać w nazwie sygnału jako zakres indeksu (X:Y), a dostęp do jej poszczególnych składowych następuje poprzez odwołanie się do konkretnej wartości indeksu. Zakres indeksu nie musi być malejący ani kończyć się na 0. Elementem graficznym przedstawiającym dołączenie linii do magistrali jest tzw. bus tap,. W zakładce Options można przełączać ważne opcje, np. zaznaczanie całości połączenia lub tylko pojedynczych jego odcinków, automatyczne lub ręczne trasowanie geometrii połączeń podczas ich wprowadzania ( Autorouter ), itp. Widoczne opcje zależą od aktualnie wybranego narzędzia rysowania. Przydatne skróty klawiszowe: F8 / F7= Zoom In/Out, F5 = Refresh. Po zakończeniu edycji schematu należy sprawdzić jego poprawność poleceniem Tools Check Schematic ; jeśli znajdowane są błędy, raportowane symbole lub połączenia można wyszukać poleceniem Edit Find (Ctrl+F). 4

6 Rys. 4 Nawigator projektu z uruchomionym edytorem HDL Bencher. Nazwy portów na schemacie muszą być poprawnymi identyfikatorami języka VHDL: muszą zaczynać się od litery, nie mogą być słowami kluczowymi, np. in, out, itp. Błędy tego rodzaju nie są wykrywane przez polecenie Check Schematic. Dalszych szczegółowych informacji nt. edytora ECS należy szukać w jego systemie pomocy on-line. 2.3 Określenie lokalizacji sygnałów WE/WY Przypisanie sygnałów WE/WY projektu do konkretnych wyprowadzeń obudowy układu scalonego jest fragmentem szerszego zagadnienia, jakim jest definiowanie ograniczeń projektowych ( user constraints ). Sformułowane ograniczenia są przechowywane w pliku tekstowym o rozszerzeniu UCF, który jest jednym z (ważnych!) elementów źródłowych projektu i jest widoczny w drzewie plików wejściowych w nawigatora. W środowisku ISE przypisanie wyprowadzeń można wykonać na dwa sposoby: 1) dodając ręcznie plik UCF do projektu (menu Project New Source Implementation Constraints File ), następnie wykonując jego edycję ( User Constraints Edit Constraints (Text) ) i wpisując odpowiednie linie z poleceniami LOC: #Format: NET "NazwaPortu" LOC = "Wyprowadzenie"; NET "We1" LOC = "P13"; NET "We2" LOC = "P12"; NET "Wy" LOC = "P24";... 2) uruchamiając aplikację PACE Pinout Area Constraints Editor ( User Constraints Floorplan IO Pre-Synthesis ), w której można przeciągnąć myszką porty WE/WY na graficzny obraz obudowy układu. 5

7 Rys. 5 Okno z przebiegami czasowymi symulatora ModelSim. Jeśli po uruchomieniu aplikacji PACE lista widocznych w nim portów nie zgadza się z rzeczywistymi sygnałami WE/WY projektu, należy ją zamknąć i wykonać edycję tekstową pliku UCF jak w punkcie 1. Symbole wyprowadzeń podawane z poleceniach LOC zależą od typu obudowy. Dla obudowy typu PLCC (XC9536XL) numeracja wyprowadzeń jest liniowa i symbole maja postać PNrWypr, dla obudów BGA, używanych w układach FPGA, symbole mają postać szachową, np. A1, C35 itp. W każdym przypadku należy sprawdzić w dokumentacji, do którego wyprowadzenia obudowy powinien być dołączony każdy port i opisać to odpowiednio w pliku UCF. Jeśli w projekcie pozostają porty, dla których nie podano poleceń LOC, program automatycznie przypisze im lokalizację wg własnych kryteriów. Implementacja projektu będzie wówczas wykonywana, ale nie ma co liczyć na jej poprawną pracę w sprzęcie. 2.4 Symulacja projektu Symulacja układu wymaga zdefiniowania pliku TBW z wektorami pobudzeń ( Test Bench Waveform ) oraz wywołania symulatora ModelSim XE. Utworzenie pliku pobudzeń (.tbw) 1º Wybierz polecenie Project New Source, zaznacz Test Bench Waveform oraz podaj nazwę pliku; w następnym oknie zaznacz plik schematowy projektu jako obiekt symulacji. Po zamknięciu kolejnego okna zostanie uruchomiony edytor HDL Bencher. Nazwa pliku TBW nie może być taka sama jak pliku SCH. 2º W oknie Initialize Timing wybierz rodzaj układu: synchroniczny z jednym lub wieloma sygnałami zegarowymi albo kombinacyjny; uzupełnij odpowiednie parametry czasowe ustawiania / testowania sygnałów. Po zatwierdzeniu w nawigatorze projektu pojawi się okno edytora HDL Bencher (rys. 4). 6

8 3º Klikając myszką na wykresy poszczególnych sygnałów WE (pola jasnoniebieskie), ustaw przebiegi pobudzeń. Poleceniem Test Benach Set End of Test Benach określ czas trwania testu. Zapisz zmiany w pliku TBW. Wywołanie symulatora ModelSim 4º W oknie plików źródłowych zaznacz plik TBW, następnie uruchom proces ModelSim Simulator Simulate Behavioral Model (symulacja funkcjonalna opisu układu; opcja Sources for: Behavioral Simulation ) lub proces ModelSim Simulator Simulate Post- Fit Model (symulacja czasowa układu zaimplementowanego w strukturze CPLD; opcja Sources for: Post-Fit Simulation ). 5º Symulator ModelSim pracuje we własnym środowisku z szeregiem obszarów roboczych; okno z obliczonymi przebiegami czasowymi (Wave) pokazuje rys. 5. Przełączanie podokien ModelSim do trybu niezależnego umożliwiają klawisze oraz. Przy analizie wykresów czasowych do precyzyjnego wyznaczania opóźnień pomocne mogą być polecenia ustawiające kursory: Insert Cursor, Find Previous Transition oraz Find Next Transition. Moduł poddawany symulacji powinien być modułem będącym na szczycie hierarchii plików źródłowych (w symulacji post-fit jest to konieczne). Ustawienie szczytu hierarchii wykonuje się poleceniem Set as Top module, które jest dostępne w menu kontekstowym modułu (jeśli jest ono nieaktywne, dany moduł już znajduje się na szczycie hierarchii). Po starcie ModelSim wykonuje cykl symulacji trwający domyślnie 1000ns (1µs) niezależnie od długości testu tbw. Kolejne cykle wydłużające czas symulacji można uruchamiać wpisując w oknie Transcript polecenie run <czas>, np. run 10us. Polecenie Simulate Run Restart wraca do chwili zero. Podczas symulacji behawioralnej możliwe jest śledzenie w oknie Wave nie tylko portów badanego modułu, ale też wszystkich jego sygnałów wewnętrznych (w tym przypadku warto nadać połączeniom na schemacie nazwy znaczące w miejsce domyślnie generowanych przez system XLXN_xx). Sygnały te można odszukać w oknie Objects po zaznaczeniu elementu UUT (Unit Under Test) w oknie Workspace. Po kliknięciu prawym klawiszem myszy na sygnał, który chcemy śledzić, należy wybrać polecenie Add to Wave, po czym uruchomić symulację ponownie ( Simulate Run Restart + Simulate Run Run - All ). Aby nie było konieczne powtarzanie symulacji po dodaniu każdego nowego sygnału do okna Wave, można włączyć rejestrowanie historii zmian wszystkich sygnałów poleceniem log r /*. Polecenie to można wpisać ręcznie w oknie Transcript po starcie ModelSim, albo zapisać w pliku skryptu użytkownika (nazwa identyczna jak.tbw + rozszerzenie.udo) wówczas będzie wykonywane automatycznie po załadowaniu pliku.tbw. 7

9 Rys. 6 Środowisko ISE z uruchomioną aplikacją impact. 2.5 Zaprogramowanie układu Przy pracy z układem XC9536XL na płytce dydaktycznej należy wybrać opcję, która wyłącza podtrzymywanie sygnałów na wyprowadzeniach układu (zablokowanie tzw. obwodów pin keeper znajdujących się w komórkach I/O). W tym celu w opcjach procesu Implement Desing Fit należy ustawić I/O Pin Termination = Float w miejsce domyślnego Keeper. 1º Dla głównego pliku schematowego uruchom proces Implement Design Generate Programming File. W katalogu projektu powstanie plik o rozszerzeniu.jed służący do konfigurowania układu. 2º Podłącz sprzęt do zasilania oraz do komputera poprzez kabel JTAG i uruchom proces Configure Target Device. Rozpocznie pracę aplikacja impact. 3º W oknie powitalnym wybierz opcję Automatically connect to a cable and identify Boundary-Scan chain. Po tym kroku program musi automatycznie wykryć i poprawnie zidentyfikować dołączony układ CPLD; efekt pokazuje rys. 6. Jeśli tak się nie stanie, sprawdź podłączenie kabli, zasilanie płyty itp. 4º W oknie wyboru pliku konfiguracyjnego wskaż plik.jed wygenerowany w kroku 1º, następnie zaakceptuj zaproponowane domyślne ustawienia Device Programming Properties. 5º Kliknij prawym klawiszem myszy na symbol układu oraz wykonaj polecenie Program ; jeśli transmisja poprzez interfejs JTAG odbędzie się pomyślnie, pojawi się komunikat Programming succeeded. Do testowania błędów transmisji JTAG służy polecenie Debug IDCODE Looping. 8

10 Rys. 7 Aplikacja StateCAD z utworzonym grafem stanów automatu. 6º Sprawdź poprawność pracy projektu na diodach i klawiszach płyty laboratoryjnej. Program impact może być także uruchamiany jako aplikacja niezależna z systemowego menu Start (Xilinx ISE Design Suite ISE Accessories). Należy wówczas zamknąć okno ładowania projektu, które otwiera się domyślnie na początku jego pracy, w oknie Modes kliknąć dwa razy tryb Boundary Scan, wybrać polecenie File Initialize Chain (Ctrl+I) i dalej postępować jak wyżej od kroku 4º. Wersja ISE 10.1 wydaje się niekiedy zawieszać, gdy program impact jest wielokrotnie uruchamiany z Menadżera Projektu. Jeśli taka sytuacja ma miejsce, należy po kroku 1º uruchomić impact jako aplikację samodzielną wg opisu j.w. i pozostawić ją otwartą przez cały czas pracy z programem ISE. 3 Opis układu poprzez graf automatu W systemie Xilinx ISE aplikacja StateCAD służy do specyfikacji układu sekwencyjnego jako maszyny stanów o zadanym przez projektanta grafie. Plik z danymi aplikacji StateCAD (o rozszerzeniu.dia) nie jest jednak plikiem syntezowanym; należy na jego podstawie wygenerować moduł VHDL i następnie dołączyć go do projektu. Utworzenie projektu 1º Wybierz File New Project ; w pierwszym oknie parametrów jako Top-Level Module Type wybierz HDL, w drugim oknie podaj typ układu programowalnego, typ obudowy oraz szybkość. Inne parametry: Synthesis Tool = XST (VHDL/Verilog), Simulator = Modelsim-XE VHDL, Preferred Language = VHDL. Naciśnij klawisz Next. 2º W kolejnych oknach nie wykonuj żadnych operacji, tzn. nie twórz nowego pliku źródłowego projektu ani nie dodawaj istniejących; zatwierdź utworzenie pustego projektu. 9

11 3º Aby utworzyć nowy plik z grafem stanów wybierz polecenie Project New Source, zaznacz State Diagram oraz wpisz nazwę pliku. Po akceptacji zostanie automatycznie uruchomiona aplikacja StateCAD (rys. 7). Edycja diagramu stanów 4º Utwórz graf automatu umieszczając stany oraz rysując przejścia w diagramie: Add state ( ) dodawanie nowych węzłów grafu (stanów), Add transition ( ) dodawanie krawędzi grafu (przejść). Aby dodać przejście między dwoma stanami kliknij najpierw obwód stanu początkowego, a następnie końcowego. Każda krawędź jest graficznie reprezentowana jako krzywa o dwóch punktach końcowych oraz dwóch punktach kontrolnych, które są wyświetlane gdy zaznaczona jest opcja View Show Control Points. Przeciągając punkty kontrolne można modelować kształt krawędzi. 5º Klikając dwukrotnie na stany oraz na przejścia (kursor musi być w trybie wskazywania, ), dodaj warunki przejść oraz generowane sygnały WY. W polu Outputs wpisuj instrukcje przypisania sygnałów, np. WyY <= '0', w polu Condition wpisuj warunki logiczne, np. WeX = '1' and WeY = '0'. 6º (Opcjonalnie) Dodaj sygnał inicjalizujący układ, tzn. powodujący przejście do określonego stanu początkowego; służy do tego polecenie Add Reset,. 7º W aplikacji StateCAD jest dostępny prosty symulator funkcjonalny StateBench, w którym można prześledzić pracę automatu oraz przełączanie się stanów wprost na grafie. Symulator jest uruchamiany poleceniem Options StateBench (Create Test Bench) F5 i każdorazowo przed symulacją wywołuje kompilację diagramu do postaci VHDL (patrz krok poniższy). Aby szybko rozpocząć w nim symulację zainicjalizuj automat klawiszem Reset, następnie generuj kolejne impulsy zegarowe klawiszem Cycle, zmieniając jednocześnie wartości sygnałów WE (dwukrotne kliknięcię na wykres sygnału przełącza jego wartość). 8º Gotowy diagram zapisz poleceniem File Save ; nowopowstały plik.dia zostanie dodany do projektu. Plik ten nie jest syntezowalny, więc okno procesów będzie dla niego puste. Utworzenie modułu VHDL 9º W aplikacji StateCAD wybierz polecenie Options Compile (Generale HDL). W tym momencie, jako jeden z etapów syntezy kodu VHDL, może opcjonalnie zostać wywołana automatyczna optymalizacja struktury automatu, powodująca przyjęcie rejestrowego trybu pracy sygnałów wyjściowych. Należy zdawać sobie sprawę z tego, że wprowadzenie w tym kroku dodatkowych przerzutników do układu może zmienić jego charakter. Tryb pracy, jaki został przyjęty dla poszczególnych sygnałów, można sprawdzić otwierając okno Options Variable. 10º Jeśli kompilacja przebiegnie bezbłędnie, zostanie utworzony plik.vhd, który należy dodać do projektu w oknie głównym nawigatora poleceniem Project Add Source i wskazać go jako moduł szczytowy hierarchii projektu (prawy klawisz myszy Set as Top Module ). 10

12 Rys. 8 Definicja portów podczas tworzenia nowego modułu VHDL. Jeśli podczas dodawania pliku.vhd pojawi się komunikat File.vhd is already in the project, it cannot be added again, należy usunąć plik.dia z projektu, spróbować ponownie, po czym przywrócić plik.dia. 11º Uruchamiając proces Design Entry Utilities Create Schematic Symbol dla przygotowanego modułu VHDL można utworzyć reprezentujący go symbol schematowy. Symbol ten można umieszczać następnie na schematach logicznych podczas pracy z edytorem ECS, odszukując go w kategorii noszącej nazwę taką, jak katalog główny projektu. Domyślnie każdy symbol jest tworzony jako prostokąt o dołączonych wyprowadzeniach odpowiadających portom jednostki VHDL, tj. sygnałom WE/WY automatu odczytanym z diagramu. Aby zmienić taki układ symbolu należy poddać go edycji: w edytorze ECS po jego zaznaczeniu dostępne jest polecenie Edit Symbol. Dalsze kroki (symulacja, implementacja, przypisanie wyprowadzeń oraz zaprogramowanie układu) wykonuje się identycznie, jak dla opisu schematowego. Jeśli podczas implementacji projektu pojawiają się komunikaty błędów typu Library synopsys cannot be found, należy przed kompilacją w kroku 9º upewnić się, że w konfiguracji StateCAD (Options Configuration ) jako Language Vendor jest wybrany Xilinx XST. 4 Opis układu w języku VHDL Język VHDL jest podstawowym formatem opisu danych w środowisku ISE dla ścieżki projektowej XST VHDL i nie wymaga żadnych zewnętrznych edytorów. Aby utworzyć nowy moduł w języku VHDL i dodać go do projektu: 1º W nawigatorze projektu wybierz Project New Source ; zaznacz VHDL Module, podaj nazwę pliku oraz naciśnij klawisz Next. 2º W oknie Define Module (rys. 8) podaj nazwy jednostki i architektury oraz opisz porty jednostki. Dla każdego portu wpisz jego nazwę, wybierz kierunek oraz, w przypadku magistral, w polach MSB / LSB podaj zakres indeksu sygnałów składowych. Ze względu na możliwości syntezy nie należy stosować innych trybów pracy portów jak dwa podstawowe in oraz out. 11

13 Rys. 9 Pusty szablon VHDL wygenerowany dla parametrów jak na rys. 8. 3º Po zatwierdzeniu podanych parametrów nowopowstały plik.vhd zostanie automatycznie dodany do projektu i otworzony do edycji. Początkowa treść pliku będzie zawierała definicję jednostki (z podanymi wcześniej portami) oraz definicję jednej, pustej, architektury, jak na rys. 9. 4º Pusty szkielet kodu należy uzupełnić wpisując instrukcje VHDL jako treść architektury. Przy opisie podstawowych układów kombinacyjnych oraz sekwencyjnych należy wzorować się na przykładach zawartych w dokumencie PDF XST User Guide, rozdz. HDL Coding Techniques. Pomocne mogą być też szablony, których bibliotekę otwiera w oknie nawigatora polecenie Edit Language Templates ( ; zob. gałąź VHLD Synthesis Constructs Coding Examples). 5º Dla przygotowanego modułu VHDL można utworzyć symbol uruchamiając dla niego proces Design Entry Utilities Create Schematic Symbol. Po umieszczeniu takiego symbolu na schemacie powstaje hierarchia różnych plików źródłowych (sch + VHDL jako submoduł). Domyślnie każdy symbol jest tworzony jako prostokąt z dołączonymi wyprowadzeniami, które odpowiadają portom jednostki VHDL. Aby zmienić taki układ domyślny należy poddać symbol edycji: w edytorze schematów ECS po jego zaznaczeniu dostępne jest polecenie Edit Symbol. Po wskazaniu modułu VHDL jako głównego pliku projektu, pozostałe kroki projektowe (synteza, symulacja, przypisanie wyprowadzeń oraz zaprogramowanie układu) wykonuje się identycznie, jak zostało to opisane w części 2 dla opisu schematowego. Literatura 1) ISE Libraries Guide (lib.pdf), Xilinx Inc. 2) XST User Guide (lib.pdf), Xilinx Inc. 3) Pomoc on-line aplikacji pakietu ISE 4) ISE 10.1 Quick Start Tutorial (qst.pdf), Xilinx Inc. 5) K. Parnell, N. Mehta: Programmable Logic Design Quick Start Hand Book (logic_handbook.pdf), Xilinx Inc., 12

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 11 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do wykonania wszystkich operacji związanych z przygotowaniem

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

dr inż. Jarosław Sugier

dr inż. Jarosław Sugier Środowiska Xilinx ISE i ModelSim-SE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 14(7) 1 Środowisko ISE Zintegrowane środowisko Xilinx ISE służy do wykonania wszystkich operacji związanych z opracowaniem

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Środowiska Xilinx ISE i ISim Instrukcja laboratoryjna

Środowiska Xilinx ISE i ISim Instrukcja laboratoryjna Środowiska Xilinx ISE i ISim Instrukcja laboratoryjna dr inż. Jarosław Sugier Wersja 14.7 Spis treści 1 Środowisko ISE... 2 1.1 Project Navigator... 2 1.2 Uruchamianie procesów... 3 1.3 Częste problemy...

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Kolory elementów. Kolory elementów

Kolory elementów. Kolory elementów Wszystkie elementy na schematach i planach szaf są wyświetlane w kolorach. Kolory te są zawarte w samych elementach, ale w razie potrzeby można je zmienić za pomocą opcji opisanych poniżej, przy czym dotyczy

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia nowego projektu (poniżej są utworzone projekty) Po kliknięciu

Bardziej szczegółowo

Modelowanie obiektowe - Ćw. 1.

Modelowanie obiektowe - Ćw. 1. 1 Modelowanie obiektowe - Ćw. 1. Treść zajęć: Zapoznanie z podstawowymi funkcjami programu Enterprise Architect (tworzenie nowego projektu, korzystanie z podstawowych narzędzi programu itp.). Enterprise

Bardziej szczegółowo

Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA

Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA Laboratorium nr 8 PODSTAWY OBSŁUGI PROGRAMU WONDERWARE INTOUCH 10.1 Opracował: mgr inż. Marcel Luzar Cel: Konfiguracja

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWNIKA MPCC

INSTRUKCJA UŻYTKOWNIKA MPCC V1.0.0 (10.14.2015) 1 (7) INSTALACJA UWAGA: Produkt działa jako urządzenie nadrzędne Modbus. Dlatego w przypadku podłączania narzędzia do istniejącej sieci Modbus konieczne może okazać się odłączenie innego

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Opis szybkiego uruchomienia programu APBSoft

Opis szybkiego uruchomienia programu APBSoft Opis szybkiego uruchomienia programu APBSoft www.telmatik.pl Program APBSoft należy instalować z otrzymanej płyty CD albo pobrać ze strony www.telmatik.pl. W drugim przypadku program dostarczany jest w

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000 Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX1000 Sterownik CX1000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX9000 Sterownik CX9000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Układy VLSI Bramki 1.0

Układy VLSI Bramki 1.0 Spis treści: 1. Wstęp... 2 2. Opis edytora schematów... 2 2.1 Dodawanie bramek do schematu:... 3 2.2 Łączenie bramek... 3 2.3 Usuwanie bramek... 3 2.4 Usuwanie pojedynczych połączeń... 4 2.5 Dodawanie

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo

Kadry Optivum, Płace Optivum

Kadry Optivum, Płace Optivum Kadry Optivum, Płace Optivum Jak seryjnie przygotować wykazy absencji pracowników? W celu przygotowania pism zawierających wykazy nieobecności pracowników skorzystamy z mechanizmu Nowe wydruki seryjne.

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie BDE: Menedżer Pojazdów PL+ Ewidencja Wyposażenia PL+ Spis treści: 1. Wstęp...

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D

1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 1. Przekrój poprzeczny tranzystora nmos. Uzupełnij rysunek odpowiednimi nazwami domieszek (n lub p). S G D 2. Analiza wielkosygnałowa Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse).

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CP6601 Sterownik CP6601 należy do grupy urządzeń określanych jako komputery przemysłowe (Industrial

Bardziej szczegółowo

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie)

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) UWAGA Podstawowym wymaganiem dla uruchomienia modemu ED77 jest komputer klasy PC z portem USB 1.1 Instalacja

Bardziej szczegółowo

Programowanie Obiektowe GUI

Programowanie Obiektowe GUI Programowanie Obiektowe GUI Swing Celem ćwiczenia jest ilustracja wizualnego tworzenia graficznego interfejsu użytkownika opartego o bibliotekę Swing w środowisku NetBeans. Ponadto, ćwiczenie ma na celu

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

Podręcznik użytkownika programu. Ceremonia 3.1

Podręcznik użytkownika programu. Ceremonia 3.1 Podręcznik użytkownika programu Ceremonia 3.1 1 Spis treści O programie...3 Główne okno programu...4 Edytor pieśni...7 Okno ustawień programu...8 Edycja kategorii pieśni...9 Edytor schematów slajdów...10

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka 1. Kompilacja aplikacji konsolowych w środowisku programistycznym Microsoft Visual Basic. Odszukaj w menu startowym systemu

Bardziej szczegółowo

Magistrale na schematach

Magistrale na schematach Magistrale na schematach Jeśli w projektowanym układzie występują sygnały składające się z kilku powiązanych ze sobą logicznie linii (na przykład liczby wielobitowe) wskazane jest używanie magistrali (Bus).

Bardziej szczegółowo

Zawartość. Wstęp. Moduł Rozbiórki. Wstęp Instalacja Konfiguracja Uruchomienie i praca z raportem... 6

Zawartość. Wstęp. Moduł Rozbiórki. Wstęp Instalacja Konfiguracja Uruchomienie i praca z raportem... 6 Zawartość Wstęp... 1 Instalacja... 2 Konfiguracja... 2 Uruchomienie i praca z raportem... 6 Wstęp Rozwiązanie przygotowane z myślą o użytkownikach którzy potrzebują narzędzie do podziału, rozkładu, rozbiórki

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie.

Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie. Lokalizacja Informacje ogólne Lokalizacja jest to położenie geograficzne zajmowane przez aparat. Miejsce, w którym zainstalowane jest to urządzenie. To pojęcie jest używane przez schematy szaf w celu tworzenia

Bardziej szczegółowo

Laboratorium Układów Programowalnych System projektowy WebPack ISE 8.2i

Laboratorium Układów Programowalnych System projektowy WebPack ISE 8.2i PŁYTKA TESTOWA Do praktycznego testowania realizowanych projektów laboratoryjnych przeznaczona jest płytka testowa. Na płytce znajdują się dwa układy programowalne CPLD: UC1 XC9536 PC44, UC2 XC95108 PC84.

Bardziej szczegółowo

OPROGRAMOWANIE DEFSIM2

OPROGRAMOWANIE DEFSIM2 Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych OPROGRAMOWANIE DEFSIM2 Instrukcja użytkownika mgr inż. Piotr Trochimiuk, mgr inż. Krzysztof Siwiec, prof. nzw. dr hab. inż. Witold Pleskacz

Bardziej szczegółowo

ApSIC Xbench: Szybki start wydanie 1 2008-2015 Mariusz Stępień http://mariuszstepien.net/ http://www.facebook.com/mariuszstepien.

ApSIC Xbench: Szybki start wydanie 1 2008-2015 Mariusz Stępień http://mariuszstepien.net/ http://www.facebook.com/mariuszstepien. ApSIC Xbench jest darmowym i niezwykle przydatnym programem w pracy tłumacza pisemnego korzystającego z narzędzi CAT. Otóż pozwala on przeszukiwać posiadane pamięci tłumaczeniowe (TM) można szukać pojedynczych

Bardziej szczegółowo

Zadanie 11. Przygotowanie publikacji do wydrukowania

Zadanie 11. Przygotowanie publikacji do wydrukowania Zadanie 11. Przygotowanie publikacji do wydrukowania Edytor Word może służyć również do składania do druku nawet obszernych publikacji. Skorzystamy z tych możliwości i opracowany dokument przygotujemy

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows XP

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows XP Instrukcja ręcznej konfiguracji połączenia z Internetem przez UWAGA modem ED77 w systemie Windows XP wersja 1.0 Niniejsza instrukcja nie opisuje sposobu i przebiegu instalacji sterowników urządzenia. W

Bardziej szczegółowo

Z pojedynczym obiekcie zasady grupy znajdziemy dwa główne typy ustawień:

Z pojedynczym obiekcie zasady grupy znajdziemy dwa główne typy ustawień: Zasady grupy (GPO) Windows Server 2008 R2 Zasady grupy to potężne narzędzie udostępnione administratorom systemów Windows w celu łatwiejszego zarządzania ustawieniami stacji roboczych. Wyobraźmy sobie

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia.

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Spis treści 1. Instalacja 2. Konfiguracja 3. Pomiar 4. Zarządzanie danymi 1. Instalacja. W celu rozpoczęcia pracy z urządzeniem FastTracer

Bardziej szczegółowo

Systemy baz danych Prowadzący: Adam Czyszczoń. Systemy baz danych. 1. Import bazy z MS Access do MS SQL Server 2012:

Systemy baz danych Prowadzący: Adam Czyszczoń. Systemy baz danych. 1. Import bazy z MS Access do MS SQL Server 2012: Systemy baz danych 16.04.2013 1. Plan: 10. Implementacja Bazy Danych - diagram fizyczny 11. Implementacja Bazy Danych - implementacja 2. Zadania: 1. Przygotować model fizyczny dla wybranego projektu bazy

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

2014 Electronics For Imaging. Informacje zawarte w niniejszej publikacji podlegają postanowieniom opisanym w dokumencie Uwagi prawne dotyczącym tego

2014 Electronics For Imaging. Informacje zawarte w niniejszej publikacji podlegają postanowieniom opisanym w dokumencie Uwagi prawne dotyczącym tego 2014 Electronics For Imaging. Informacje zawarte w niniejszej publikacji podlegają postanowieniom opisanym w dokumencie Uwagi prawne dotyczącym tego produktu. 23 czerwca 2014 Spis treści 3 Spis treści...5

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

2.2 Opis części programowej

2.2 Opis części programowej 2.2 Opis części programowej Rysunek 1: Panel frontowy aplikacji. System pomiarowy został w całości zintegrowany w środowisku LabVIEW. Aplikacja uruchamiana na komputerze zarządza przebiegiem pomiarów poprzez

Bardziej szczegółowo

Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP

Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP W tym opracowaniu opisano, jak korzystać z edytora zasad grupy do zmiany ustawień zasad lokalnych dla

Bardziej szczegółowo

PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl

PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl Do połączenia z serwerem A&B w celu załadowania lub pobrania materiałów można wykorzystać dowolny program typu "klient FTP". Jeżeli nie

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie Firebird: System Obsługi Zleceń PL+ Grafik Urlopowy PL+ Zarządzanie Szkoleniami

Bardziej szczegółowo

etrader Pekao Podręcznik użytkownika Strumieniowanie Excel

etrader Pekao Podręcznik użytkownika Strumieniowanie Excel etrader Pekao Podręcznik użytkownika Strumieniowanie Excel Spis treści 1. Opis okna... 3 2. Otwieranie okna... 3 3. Zawartość okna... 4 3.1. Definiowanie listy instrumentów... 4 3.2. Modyfikacja lub usunięcie

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat: Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych SPIS TREŚCI 1. Wymagania...3

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

Windows 10 - Jak uruchomić system w trybie

Windows 10 - Jak uruchomić system w trybie 1 (Pobrane z slow7.pl) Windows 10 - Jak uruchomić system w trybie awaryjnym? Najprostszym ze sposobów wymuszenia na systemie przejścia do trybu awaryjnego jest wybranie Start a następnie Zasilanie i z

Bardziej szczegółowo

Instrukcja użytkowania

Instrukcja użytkowania ASPEL S.A. PL 32-080 Zabierzów, os. H. Sienkiewicza 33 tel. +48 12 285 22 22, fax +48 12 285 30 30 www.aspel.com.pl Instrukcja użytkowania Konfiguracja bezprzewodowej komunikacji rejestratora AsPEKT 703

Bardziej szczegółowo

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Stanowisko laboratoryjne ze sterownikiem BX9000 Sterownik BX9000 należy

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Wprowadzenie do zajęć

EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Wprowadzenie do zajęć Politechnika Śląska Wydział Organizacji i Zarządzania Katedra Podstaw Systemów Technicznych EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Ćwiczenie 1 Wprowadzenie do zajęć Plan ćwiczenia 1. Zapoznanie się

Bardziej szczegółowo

6.4. Efekty specjalne

6.4. Efekty specjalne 6.4. Efekty specjalne Rozdział ten będzie poświęcony efektom specjalnym, które również znalazły swoje zastosowanie w programie MS PowerPoint 2007. Pierwszym typem efektów jaki zostanie poddany naszej analizie

Bardziej szczegółowo

Programowanie w języku Python. Grażyna Koba

Programowanie w języku Python. Grażyna Koba Programowanie w języku Python Grażyna Koba Kilka definicji Program komputerowy to ciąg instrukcji języka programowania, realizujący dany algorytm. Język programowania to zbiór określonych instrukcji i

Bardziej szczegółowo

Dell P2018H Dell Display Manager Instrukcja użytkownika

Dell P2018H Dell Display Manager Instrukcja użytkownika Dell P2018H Dell Display Manager Instrukcja użytkownika Model monitora: P2018H Model - zgodność z przepisami: P2018Hc UWAGA: UWAGA oznacza ważną informację, która może pomóc w lepszym wykorzystaniu komputera.

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od szczegółu do ogółu (bottom-up) przy użyciu pakietu CADENCE w technologii UMC 0.18µm

Projektowanie układów VLSI-ASIC techniką od szczegółu do ogółu (bottom-up) przy użyciu pakietu CADENCE w technologii UMC 0.18µm Laboratorium Projektowania Systemów Scalonych Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od szczegółu do ogółu (bottom-up) przy użyciu pakietu CADENCE

Bardziej szczegółowo

Fiery Remote Scan. Uruchamianie programu Fiery Remote Scan. Skrzynki pocztowe

Fiery Remote Scan. Uruchamianie programu Fiery Remote Scan. Skrzynki pocztowe Fiery Remote Scan Program Fiery Remote Scan umożliwia zarządzanie skanowaniem na serwerze Fiery server i drukarce ze zdalnego komputera. Programu Fiery Remote Scan można użyć do wykonania następujących

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Podgląd z rejestratorów IPOX na komputerze z systemem WINDOWS za pomocą programu NVMS-2.0 LITE

Podgląd z rejestratorów IPOX na komputerze z systemem WINDOWS za pomocą programu NVMS-2.0 LITE Wersja: 1.0 Data: 06.08.2019 Podgląd z rejestratorów IPOX na komputerze z systemem WINDOWS za pomocą programu NVMS-2.0 LITE NVMS-2 LITE jest oprogramowaniem typu CMS, umożliwiającym użytkownikowi sprawowanie

Bardziej szczegółowo

1. Przypisy, indeks i spisy.

1. Przypisy, indeks i spisy. 1. Przypisy, indeks i spisy. (Wstaw Odwołanie Przypis dolny - ) (Wstaw Odwołanie Indeks i spisy - ) Przypisy dolne i końcowe w drukowanych dokumentach umożliwiają umieszczanie w dokumencie objaśnień, komentarzy

Bardziej szczegółowo

Cover sheet. WinCC (TIA Portal) FAQ Listopad 2012

Cover sheet. WinCC (TIA Portal) FAQ Listopad 2012 Cover sheet W jaki sposób migrować projekt zintegrowany ze STEP 7 z WinCC flexible do WinCC (TIA Portal)? WinCC (TIA Portal) FAQ Listopad 2012 Service & Support Answers for industry. Pytanie Dokument ten

Bardziej szczegółowo

Ploter I-V instrukcja obsługi

Ploter I-V instrukcja obsługi L A B O R A T O R I U M ELEMENTY ELEKTRONICZNE Ploter I-V instrukcja obsługi Opracowali: Grzegorz Gajoch & Piotr Rzeszut REV. 1.0 1. OPIS PROGRAMU Ploter I-V służy do zbierania charakterystyk prądowo napięciowych

Bardziej szczegółowo

Krótki kurs obsługi środowiska programistycznego Turbo Pascal z 12 Opracował Jan T. Biernat. Wstęp

Krótki kurs obsługi środowiska programistycznego Turbo Pascal z 12 Opracował Jan T. Biernat. Wstęp Krótki kurs obsługi środowiska programistycznego Turbo Pascal 7.0 1 z 12 Wstęp Środowisko programistyczne Turbo Pascal, to połączenie kilku programów w jeden program. Środowisko to zawiera m.in. kompilator,

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Makropolecenia w Excelu

Makropolecenia w Excelu Makropolecenia w Excelu Trochę teorii Makropolecenie w skrócie nazywane makro ma za zadanie automatyczne wykonanie powtarzających się po sobie określonych czynności. Na przykładzie arkusza kalkulacyjnego

Bardziej szczegółowo

Aplikacja npodpis do obsługi certyfikatu (instrukcja użytkownika)

Aplikacja npodpis do obsługi certyfikatu (instrukcja użytkownika) Pałucki Bank Spółdzielczy w Wągrowcu Spółdzielcza Grupa Bankowa Aplikacja npodpis do obsługi certyfikatu (instrukcja użytkownika) Wągrowiec, maj 2019 r. Spis treści I. Aplikacja npodpis do obsługi certyfikatu...

Bardziej szczegółowo