SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

Wielkość: px
Rozpocząć pokaz od strony:

Download "SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH"

Transkrypt

1 Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami Zespół Rekonfigurowalnych Systemów Obliczeniowych Sebastian Koryciak & Paweł Russek

2 1. Tworzenie projektu w PlanAhead 1. Proszę uruchomić program PlanAhead: Start -> Wszystkie programy -> Xilinx Design Tools -> ISE Design Suite > PlanAhead -> PlanAhead 2. Proszę utworzyć nowy projekt o następujących parametrach: Project name: project_zynq_2 (pamiętamy o ścieżce dostępu bez spacji) Project type: RTL Project Target Language: VHDL Target device: Boards / Zynq-700 / ZedBoard Zynq Evaluation and Development Kit 2

3 3. Proszę dodać nowe źródło. 4. W nowo otwartym oknie proszę zaznaczyć opcję "Add or Create Embedded Sources", a następnie "Create Sub-Design". Nowemu systemowi nadajemy nazwę "zynq_module". Następnie otwierającemu się programowi EDK zezwalamy na dodanie Processing System7 5. Importujemy odpowiednią konfigurację dla naszego procesora. Wybieramy opcję "ZedBoard Development Board Template". Zgadzamy się na uaktualnienie pliku MHS. 6. Zamykamy XPS. W ten sposób uzyskaliśmy gotowy do dalszej pracy system PS. 3

4 2. Dodawanie do projektu logiki programowalnej 1. Dwukrotnie kliknij na zynq_module.xmp w celu uruchomienia programu XPS i rozpoczęcia jego modyfikacji. 2. Wybierz zakładkę Bus Interfaces. 3. W oknie IP Catalog rozwiń kategorię General Purpose IO, a następnie przenieś moduł AXI General Purpose IO do okna Bus Interfaces. 4. Po zatwierdzeniu dodania modułu, w oknie XPS Core Config, rozwiń zakładkę Channel 1. Zwróć uwagę na szerokość kanału danych. Ponadto uruchom i zaznajom się z dokumentacją PDF dla tego modułu. 5. Po podłączeniu modułu do systemu będzie on widoczny w zakładce Bus Interfaces. 6. Wybierz zakładkę Ports i rozwiń wszystkie dostępne porty. Wybierając podłączony moduł axi_gpio_0 dokonaj następujących modyfikacji: External Ports::axi_gpio_0_GPIO_IO_pin zmień na No Connection (prawym przyciskiem myszy) W wierszu GPIO_IO_O zaznacz Make External (prawym przyciskiem myszy) Następnie rozwiń kategorię External Ports i zmień nazwę GPIO_IO_O na LED_DutyCycle 4

5 7. Wykonaj dodatkową modyfikację pod kategorią processing_system7_0: W wierszu FCLK_CLK0 zaznacz Make External (prawym przyciskiem myszy) W ten sposób do logiki programowalnej wyprowadziliśmy zegar, który teraz należy skonfigurować klikając na zielony blok Clock Generation pod zakładką Zynq. W otwartym oknie rozwiń wiersz PL Fabric Clocks i zmień FCLK_CLK0 i FCLK_CLK1 na 50MHz. Kliknij na Validate Clocks, a następnie OK. 8. Wybierz zakładkę Addresses i zapisz Base Address dla modułu axi_gpio_0. 9. Zamknij program XPS i wróć do PlanAhead. 10. Kliknij prawym przyciskiem myszy na zynq_module.xmp, a następnie wybierz opcję Create Top HDL. Pamiętaj, żeby czynność tą powtórzyć za każdym razem po modyfikacji portów wyjściowych z naszego systemu w programie XPS. 11. Otwórz nowo stworzony plik VHDL. Zwróć uwagę czy zawiera on dodane przez nas porty zewnętrzne (LED_DutyCycle i FCLK_CLK0). 12. Dokonaj następujących modyfikacji w pliku zynq_module_stub.vhd: W entity zakomentuj porty zewnętrzne, ponieważ będziemy ich używać do kontroli naszej logiki programowalnej, a dodaj port 8 bitowy LEDS. 5

6 Dodaj w odpowiednich miejscach inicjalizację i mapowanie nowego komponentu - "PWM_Controller" Dodaj do architektury sygnały: signal signal_led_dutycycle : std_logic_vector(31 downto 0); signal signal_processing_system7_0_fclk_clk0_pin : std_logic; 13. Do projektu dodaj nowy plik źródłowy (Add or Create Design Sources), następnie wybierz Create File, a nowy plik VHDL nazwij PWM_Controller. W trakcie jego powstawania dodaj porty adekwatne do zadeklarowanych w pliku głównym, a zawartość architektury nadpisz w następujący sposób: 6

7 14. Do projektu dodaj nowy plik źródłowy (Add or Create Constraints), następnie wybierz Create File i stwórz plik o nazwie system. Jego zawartość zmodyfikuj w następujący sposób: 15. Po zapisaniu wszystkich modyfikacji proszę uruchomić opcję Generate Bitestream. Po zakończeniu etapów syntezy i implementacji można obejrzeć rezultat rozmieszczenia logiki. 16. Proszę kliknąć File -> Export -> Export Hardware for SDK... i zaznaczając opcję Launch SDK kliknąć OK. 7

8 3. Tworzenie oprogramowania do komunikacji z PL 1. W SDK postępuj zgodnie z drogą tworzenia pustego projektu, czyli stwórz standalone_bsp_0, a do niego dołącz projekt napisany w C (Empty Application). 2. W zakładce system.mss odnajdź sekcję driverów, a następnie zapoznaj się z dokumentacją dotyczącą axi_gpio_0 (otwórz również Examples) 3. Po analizie plików nagłówkowych, dodaj odpowiednie do swojego pliku main.c 4. Zmodyfikuj zawartość głównego pliku dodając następujące linie: 5. Funkcję main określ w następujący sposób: 8

9 6. Zaprogramuj część PL, a następnie po zbudowaniu projektu wgraj go przez JTAG do procesora 7. Jeżeli wszystko działa poprawnie, zastąp wbudowane funkcje sterowników podstawowymi (xil_...) 4. Zadania do wykonania 1. Zmodyfikuj IP Core axi_gpio_0 włączając przerwania oraz dodając 2 kanał o szerokości 2 bitów będących tylko wejściami 2. Zmodyfikuj nowo stworzone porty (GPIO2_IO_I) na External (Pushbuttons) 9

10 3. Naciskając na wiersz IP2INTC_Irpt, w nowym oknie podłącz przerwanie 4. W programie PlanAhead stwórz moduł vhdl o nazwie debouncer, wpisz odpowiednie porty i załącz podaną poniżej architekturę, a następnie podłącz go do pliku TOP projektu 5. Do pliku system.ucf dodaj dowolnie wybrane 2 przyciski NET InputButtons[4] LOC = P16 IOSTANDARD=LVCMOS18; # "BTNC" NET InputButtons[3] LOC = R16 IOSTANDARD=LVCMOS18; # "BTND" NET InputButtons[2] LOC = N15 IOSTANDARD=LVCMOS18; # "BTNL" NET InputButtons[1] LOC = R18 IOSTANDARD=LVCMOS18; # "BTNR" NET InputButtons[0] LOC = T18 IOSTANDARD=LVCMOS18; # "BTNU" 6. Zmodyfikuj aplikację w SDK, tak aby uwzględniała przerwania od przycisków. 10

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 5 ZYNQ. Obsługa przerwań. Zespół Rekonfigurowalnych

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 4 Petalinux. Budowa własnego systemu. Zespół Rekonfigurowalnych

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Tutorial projektowanie systemu dwuprocesorowego FPGA

Tutorial projektowanie systemu dwuprocesorowego FPGA Tutorial projektowanie systemu dwuprocesorowego FPGA Celem niniejszego tutoriala jest zapoznanie się z zagadnieniami związanymi z projektowaniem systemów wieloprocesorowych w układach FPGA. Tutorial jest

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 6a Wykorzystanie USB do komunikacji z komputerem PC Mariusz Sokołowski http://www.fpga.agh.edu.pl/upt2

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Uczniowie, którzy nie ukończyli szkoły, nie otrzymują świadectwa. Fakt nieukończenia szkoły odnotowuje się jedynie w arkuszu ocen.

Uczniowie, którzy nie ukończyli szkoły, nie otrzymują świadectwa. Fakt nieukończenia szkoły odnotowuje się jedynie w arkuszu ocen. UONET+ Jak przygotować i wydrukować strony arkuszy ocen z wynikami klasyfikacji końcowej oraz świadectwa ukończenia szkoły? Przewodnik Jeśli w systemie UONET+ administrator, sekretarz szkoły oraz wychowawcy

Bardziej szczegółowo

Jak przygotować i wydrukować strony arkuszy ocen z wynikami klasyfikacji końcowej oraz świadectwa ukończenia szkoły?

Jak przygotować i wydrukować strony arkuszy ocen z wynikami klasyfikacji końcowej oraz świadectwa ukończenia szkoły? UONET+ Jak przygotować i wydrukować strony arkuszy ocen z wynikami klasyfikacji końcowej oraz świadectwa ukończenia szkoły? Przewodnik Jeśli w systemie UONET+ administrator, sekretarz szkoły oraz wychowawcy

Bardziej szczegółowo

cmt + CODESYS, oraz zdalne wejścia/ wyjścia

cmt + CODESYS, oraz zdalne wejścia/ wyjścia Instrukcja użytkownika cmt + CODESYS, oraz zdalne wejścia/ wyjścia W tej instrukcji przedstawiono krok po kroku, jak skonfigurować panel cmt3090 i zdalne wejścia/ wyjścia. V1.00 Spis treści 1. Instalacja

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

Zobacz poradę: Jak przygotować i wydrukować arkusze ocen i świadectwa uczniów?

Zobacz poradę: Jak przygotować i wydrukować arkusze ocen i świadectwa uczniów? UONET+ Jak przygotować i wydrukować strony arkuszy ocen z wynikami klasyfikacji końcowej oraz świadectwa ukończenia szkoły? Przewodnik Jeśli w systemie UONET+ administrator, sekretarz szkoły oraz wychowawcy

Bardziej szczegółowo

Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA

Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski SYSTEMY SCADA Laboratorium nr 8 PODSTAWY OBSŁUGI PROGRAMU WONDERWARE INTOUCH 10.1 Opracował: mgr inż. Marcel Luzar Cel: Konfiguracja

Bardziej szczegółowo

Przykładowa konfiguracja komunikacji pomiędzy oprogramowaniem Wonderware i Codesys z wykorzystaniem sieci LAN lub modułu GSM

Przykładowa konfiguracja komunikacji pomiędzy oprogramowaniem Wonderware i Codesys z wykorzystaniem sieci LAN lub modułu GSM Przykładowa konfiguracja komunikacji pomiędzy oprogramowaniem Wonderware i Codesys z wykorzystaniem sieci LAN lub modułu GSM Informator Techniczny Wonderware nr 159 07.11.2016 r. SPIS TREŚCI Konfiguracja

Bardziej szczegółowo

Informatyka I : Tworzenie projektu

Informatyka I : Tworzenie projektu Tworzenie nowego projektu w programie Microsoft Visual Studio 2013 Instrukcja opisuje w jaki sposób stworzyć projekt wykorzystujący bibliotekę winbgi2 w programie Microsoft Visual Studio 2013. 1. Otwórz

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-510L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-510L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-510L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW Moduły IP Core EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych Grzegorz

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

Tomasz Greszata - Koszalin

Tomasz Greszata - Koszalin T: Wirtualizacja systemu Linux Ubuntu w maszynie wirtualnej VirtualBox. Zadanie1. Odszukaj w serwisie internetowym dobreprogramy.pl informacje na temat programu Oracle VM VirtualBox. VirtualBox to oprogramowanie

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Instalacja NOD32 Remote Administrator

Instalacja NOD32 Remote Administrator Instalacja NOD32 Remote Administrator Program do zdalnego zarządzania stacjami roboczymi, na których zainstalowany jest program NOD32, składa się z dwóch modułów. Pierwszy z nich Remote Administrator Server

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort:

Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort: Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort: - automatyczna kopia zapasowa - kopia zapasowa / przywracanie z użyciem karty pamięci - kopia zapasowa / przywracanie z użyciem programu

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Materiały dodatkowe. Konfiguracja sterownika programowalnego Siemens do obsługi protokołu MODBUS. Opracowali: mgr inż.

Materiały dodatkowe. Konfiguracja sterownika programowalnego Siemens do obsługi protokołu MODBUS. Opracowali: mgr inż. Katedra Inżynierii Systemów Sterowania Materiały dodatkowe Konfiguracja sterownika programowalnego Siemens do obsługi protokołu MODBUS Opracowali: mgr inż. Tomasz Karla Data: Luty, 2017 r. Dodatkowe informacje

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Bazy danych kwerendy (moduł 5) 1. Przekopiuj na dysk F:\ bazę M5KW.mdb z dysku wskazanego przez prowadzącego

Bazy danych kwerendy (moduł 5) 1. Przekopiuj na dysk F:\ bazę M5KW.mdb z dysku wskazanego przez prowadzącego Bazy danych kwerendy (moduł 5) 1. Przekopiuj na dysk F:\ bazę M5KW.mdb z dysku wskazanego przez prowadzącego 2. Otwórz bazę (F:\M5KW) 3. Zapoznaj się ze strukturą bazy (tabele, relacje) 4. Wykorzystując

Bardziej szczegółowo

Realizacja własnych modułów IP Cores w srodowisku EDK

Realizacja własnych modułów IP Cores w srodowisku EDK Realizacja własnych modułów IP Cores w srodowisku EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 3.10.2007 1 Wstęp Celem ćwiczenia jest zapoznanie się z możliwościami

Bardziej szczegółowo

Instrukcja obsługi programu CMS Dla rejestratorów HANBANG

Instrukcja obsługi programu CMS Dla rejestratorów HANBANG Instrukcja obsługi programu CMS Dla rejestratorów HANBANG 1. Wymagania sprzętowe: Minimalne wymagania sprzętowe: System operacyjny: Windows Serwer 2003 Standard Edition SP2 Baza danych: Mysql5.0 Procesor:

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 2 Pierwsze kroki z myrio

LabVIEW PLATFORMA EDUKACYJNA Lekcja 2 Pierwsze kroki z myrio LabVIEW PLATFORMA EDUKACYJNA Lekcja 2 Pierwsze kroki z myrio Przygotował: Arkadiusz Szczech 1. myrio informacje o platformie Płytka myrio jest produktem firmy National Instruments stworzonym jak sam producent

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000 Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX1000 Sterownik CX1000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Instrukcja dodawania kamer

Instrukcja dodawania kamer Instrukcja dodawania kamer Poniższa instrukcja jest uzupełnieniem do instrukcji użytkownika i zawiera opis dodawania kamer sieciowych. Rejestrator sieciowy FLEX-80IP FLEX-160IP FLEX-162IP FLEX-320IP UWAGA:

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydział Elektroniki Mikrosystemów i Fotoniki Politechnika Wrocławska Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Konfiguracja układu DCM Digital

Bardziej szczegółowo

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Stanowisko laboratoryjne ze sterownikiem BX9000 Sterownik BX9000 należy

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

UONET+ moduł Dziennik

UONET+ moduł Dziennik UONET+ moduł Dziennik Jak modyfikować czcionkę na świadectwach, aby poprawnie drukowały się oceny opisowe uczniów? Przygotowując w systemie UONET+ świadectwa dla uczniów klas I-III szkoły podstawowej,

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I POŁĄCZENIA Z INTERNETEM NA WINDOWS 7 DLA AnyDATA ADU-510L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I POŁĄCZENIA Z INTERNETEM NA WINDOWS 7 DLA AnyDATA ADU-510L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I POŁĄCZENIA Z INTERNETEM NA WINDOWS 7 DLA AnyDATA ADU-510L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej instalacji.

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Tworzenie pliku źródłowego w aplikacji POLTAX2B.

Tworzenie pliku źródłowego w aplikacji POLTAX2B. Tworzenie pliku źródłowego w aplikacji POLTAX2B. Po utworzeniu spis przekazów pocztowych klikamy na ikonę na dole okna, przypominającą teczkę. Następnie w oknie Export wybieramy format dokumentu o nazwie

Bardziej szczegółowo

Konfiguracja zapory ogniowej w trybie standardowym na module SCALANCE S623

Konfiguracja zapory ogniowej w trybie standardowym na module SCALANCE S623 Konfiguracja zapory ogniowej w trybie standardowym na module SCALANCE S623 1. Słownik Użycie terminów interfejs i port: W tym dokumencie używane będą następujące terminy dotyczące portów modułów zabezpieczeń:

Bardziej szczegółowo

Finanse VULCAN. Jednostki dodaje i konfiguruje administrator główny platformy (w aplikacji Zarządzanie platformą).

Finanse VULCAN. Jednostki dodaje i konfiguruje administrator główny platformy (w aplikacji Zarządzanie platformą). Finanse VULCAN Migracja danych z systemu Finanse Optivum do aplikacji Finanse VULCAN Aplikacja Finanse VULCAN to nowa aplikacja firmy VULCAN do obsługi finansowo-księgowej jednostek oświatowych. Jest to

Bardziej szczegółowo

Moduł Handlowo-Magazynowy Przeprowadzanie inwentaryzacji z użyciem kolektorów danych

Moduł Handlowo-Magazynowy Przeprowadzanie inwentaryzacji z użyciem kolektorów danych Moduł Handlowo-Magazynowy Przeprowadzanie inwentaryzacji z użyciem kolektorów danych Wersja 3.77.320 29.10.2014 r. Poniższa instrukcja ma zastosowanie, w przypadku gdy w menu System Konfiguracja Ustawienia

Bardziej szczegółowo

Materiały dodatkowe. Simulink Real-Time

Materiały dodatkowe. Simulink Real-Time Katedra Inżynierii Systemów Sterowania Materiały dodatkowe Simulink Real-Time Opracowali: mgr inż. Tomasz Karla Data: Listopad, 2016 r. Wstęp Simulink Real-Time jest środowiskiem pozwalającym na tworzenie

Bardziej szczegółowo

INSTALACJA W PROGRAMACH MAGAZYNOWYCH KROK PO KROKU FAREX FLEX

INSTALACJA W PROGRAMACH MAGAZYNOWYCH KROK PO KROKU FAREX FLEX INSTALACJA W PROGRAMACH MAGAZYNOWYCH KROK PO KROKU FAREX FLEX Edata Polska Sp. z o.o. ul. Puławska 314 02-819 Warszawa Tel 22 545-32-40 Fax 22 678-60-29 biuro@edatapolska.pl Ver 1.021 Spis treści 1. Połączenie

Bardziej szczegółowo

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX9000 Sterownik CX9000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

Instalacja aplikacji komunikacyjnej modułu pl.id

Instalacja aplikacji komunikacyjnej modułu pl.id Instalacja aplikacji komunikacyjnej modułu pl.id Spis treści 1. Wymagania... 3 2. Import certyfikatu osobistego... 3 3. Uzyskanie odcisku palca (Thumbprint) certyfikatu prywatnego... 7 4. Instalacja aplikacji

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Rejestrator radiowy temperatury Arexx TL-500

Rejestrator radiowy temperatury Arexx TL-500 INSTRUKCJA OBSŁUGI Rejestrator radiowy temperatury Arexx TL-500 Nr produktu 100783 Strona 1 z 8 Spis treści 1. Rejestrator radiowy temperatury 2. Instalacja oprogramowania 3. Instalacja stacji USB 4. Przygotowanie

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów

Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów Nr i temat ćwiczenia Nr albumu Grupa Rok S 3. Konfiguracja systemu Data wykonania ćwiczenia N Data oddania sprawozdania

Bardziej szczegółowo

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer?

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer? Kadry Optivum, Płace Optivum Jak przenieść dane na nowy komputer? Aby kontynuować pracę z programem Kadry Optivum lub Płace Optivum (lub z obydwoma programami pracującymi na wspólnej bazie danych) na nowym

Bardziej szczegółowo

Problemy techniczne SQL Server

Problemy techniczne SQL Server Problemy techniczne SQL Server Jak utworzyć i odtworzyć kopię zapasową bazy danych za pomocą narzędzi serwera SQL? Tworzenie i odtwarzanie kopii zapasowych baz danych programów Kadry Optivum, Płace Optivum,

Bardziej szczegółowo

pomocą programu WinRar.

pomocą programu WinRar. 1. Pobieramy oprogramowanie dla kamery ze strony. Z menu produkty wybieramy swój model kamery. Następnie na podstronie produktu wybieramy zakładkę [POMOC] - klikamy symbol szarej strzałki aby rozpocząć

Bardziej szczegółowo

Zadanie 9. Projektowanie stron dokumentu

Zadanie 9. Projektowanie stron dokumentu Zadanie 9. Projektowanie stron dokumentu Przygotowany dokument można: wydrukować i oprawić, zapisać jako strona sieci Web i opublikować w Internecie przekonwertować na format PDF i udostępnić w postaci

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Płace Optivum. 1. Zainstalować serwer SQL (Microsoft SQL Server 2008 R2) oraz program Płace Optivum.

Płace Optivum. 1. Zainstalować serwer SQL (Microsoft SQL Server 2008 R2) oraz program Płace Optivum. Płace Optivum Jak przenieść dane programu Płace Optivum na nowy komputer? Aby kontynuować pracę z programem Płace Optivum na nowym komputerze, należy na starym komputerze wykonać kopię zapasową bazy danych

Bardziej szczegółowo

Laboratorium A: Podstawy administrowania serwerem

Laboratorium A: Podstawy administrowania serwerem Rozdział 1: Podstawy administrowania serwerem 41 Laboratorium A: Podstawy administrowania serwerem Cele Scenariusz Uwagi administratora systemów Wymagania wstępne Po zrealizowaniu tego laboratorium uczestnik

Bardziej szczegółowo

Instrukcja InPro BMS Siemens FC700A InPro Professional 4.1

Instrukcja InPro BMS Siemens FC700A InPro Professional 4.1 Instrukcja InPro BMS Siemens FC700A 1 Spis treści 1. Integracja Siemens FC700A...3 1.1. Okablowanie...3 1.2. Mikroprzełączniki S1 + S2...3 1.3. Połączenia...4 1.4. Konfiguracja centrali Siemens FC700A...5

Bardziej szczegółowo

Problemy techniczne SQL Server

Problemy techniczne SQL Server Problemy techniczne SQL Server Jak utworzyć i odtworzyć kopię zapasową za pomocą narzędzi serwera SQL? Tworzenie i odtwarzanie kopii zapasowych baz danych programów Kadry Optivum, Płace Optivum, MOL Optivum,

Bardziej szczegółowo

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer?

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer? Kadry Optivum, Płace Optivum Jak przenieść dane na nowy komputer? Aby kontynuować pracę z programem Kadry Optivum lub Płace Optivum (lub z obydwoma programami pracującymi na wspólnej bazie danych) na nowym

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Instrukcja instalacji certyfikatu na karcie kryptograficznej Certum Code Signing

Instrukcja instalacji certyfikatu na karcie kryptograficznej Certum Code Signing Instrukcja instalacji certyfikatu na karcie kryptograficznej Code Signing S t r o n a 2 Spis treści 1 Opis produktu... 3 2 Wgrywanie certyfikatu na kartę... 3 3 Konfiguracja oprogramowania... 9 infolinia@

Bardziej szczegółowo

Instalacja Webroot SecureAnywhere przy użyciu GPO w Active Directory

Instalacja Webroot SecureAnywhere przy użyciu GPO w Active Directory Instalacja Webroot SecureAnywhere przy użyciu GPO w Active Directory Poniższa instrukcja opisuje sposób zdalnej instalacji oprogramowania Webroot SecureAnywhere w środowiskach wykorzystujących usługę Active

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II PRE LAB Instalacja środowiska Keil i konfigurowanie zestawu FRDM-KL46Z Sebastian

Bardziej szczegółowo

Instalacja. Podłączenie urządzenia. Wyłącz wszystkie urządzenia sieciowe (komputer, modem i router).

Instalacja. Podłączenie urządzenia. Wyłącz wszystkie urządzenia sieciowe (komputer, modem i router). Instalacja Podłączenie urządzenia Wyłącz wszystkie urządzenia sieciowe (komputer, modem i router). Podłącz kabel sieciowy do port WAN routera i do portu LAN modemu. Podłącz kabel sieciowy do komputera

Bardziej szczegółowo

DOKUMENTY I GRAFIKI. Zarządzanie zawartością Tworzenie folderu Dodawanie dokumentu / grafiki Wersje plików... 7

DOKUMENTY I GRAFIKI. Zarządzanie zawartością Tworzenie folderu Dodawanie dokumentu / grafiki Wersje plików... 7 DOKUMENTY I GRAFIKI SPIS TREŚCI Zarządzanie zawartością... 2 Tworzenie folderu... 3 Dodawanie dokumentu / grafiki... 4 Wersje plików... 7 Zmiana uprawnień w plikach... 9 Link do dokumentów i dodawanie

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie BDE: Menedżer Pojazdów PL+ Ewidencja Wyposażenia PL+ Spis treści: 1. Wstęp...

Bardziej szczegółowo

Materiały dodatkowe. Raspberry Pi

Materiały dodatkowe. Raspberry Pi Katedra Inżynierii Systemów Sterowania Materiały dodatkowe Raspberry Pi Opracowali: mgr inż. Tomasz Karla Data: Listopad, 2016 r. Dodatkowe informacje Materiały dodatkowe mają charakter ogólny i służą

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy kombinacyjne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015 Co to jest układ kombinacyjny? Stan wyjść zależy tylko

Bardziej szczegółowo

UONET+ moduł Dziennik

UONET+ moduł Dziennik UONET+ moduł Dziennik Jak modyfikować czcionkę na świadectwach, aby poprawnie drukowały się oceny opisowe uczniów? Przygotowując w systemie UONET+ świadectwa dla uczniów klas I-III szkoły podstawowej,

Bardziej szczegółowo

Zadanie 10. Stosowanie dokumentu głównego do organizowania dużych projektów

Zadanie 10. Stosowanie dokumentu głównego do organizowania dużych projektów Zadanie 10. Stosowanie dokumentu głównego do organizowania dużych projektów Za pomocą edytora Word można pracować zespołowo nad jednym dużym projektem (dokumentem). Tworzy się wówczas dokument główny,

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo

Rys. 1. Brama przesuwna do wykonania na zajęciach

Rys. 1. Brama przesuwna do wykonania na zajęciach Programowanie robotów off-line 2 Kuka.Sim Pro Import komponentów do środowiska Kuka.Sim Pro i modelowanie chwytaka. Cel ćwiczenia: Wypracowanie umiejętności dodawania własnych komponentów do programu oraz

Bardziej szczegółowo

INFORMATOR TECHNICZNY WONDERWARE

INFORMATOR TECHNICZNY WONDERWARE Informator Techniczny nr 64 23-04-2003 INFORMATOR TECHNICZNY WONDERWARE Konfiguracja programu komunikacyjnego Applicom do komunikacji ze sterownikami Siemens S7 z wykorzystaniem protokołu Siemens Industrial

Bardziej szczegółowo