Modelowanie reprogramowalnych układów prądowych pracujących w logice. wielowartościowej.

Wielkość: px
Rozpocząć pokaz od strony:

Download "Modelowanie reprogramowalnych układów prądowych pracujących w logice. wielowartościowej."

Transkrypt

1 Przemysław Sołtan, Natalia Maslennikow, Oleg Maslennikow Wydział Elektroniki i Informatyki Politechnika Koszalińska, Koszalin Modelowanie reprogramowalnych układów prądowych pracujących w logice wielowartościowej STRESZCZENIE W niniejszej pracy przedstawiono rozwój projektu wspomagającego modelowanie reprogramowalnych układów prądowych pracujących w logice wielowartościowej. Podczas kilkuletnich prac badawczych nad koncepcją bramek prądowych opracowano zestaw bibliotek opisujących w języku VHDL: logikę wielowartościową technologii prądowej, bramki prądowe oraz podstawowe jak i złoŝone bloki funkcyjne operacji logicznych i arytmetycznych. Zaprojektowano takŝe model reprogramowalnego układ prądowego na bazie napięciowej technologii FPGA. Modelowanie układów prądowych w logice wielowartościowej wymusiło zaproponowanie nowej koncepcji układu reprogramowalnego opartego na odmiennych blokach funkcyjnych. Cały proces projektowy został wsparty przez mechanizm weryfikacji biblioteki testów jednostkowych VhdlUnit. WSTĘP Idea reprogramowalnych układów cyfrowych oparta jest na wielokrotnym wykorzystaniu raz opracowanej rekonfigurowalnej struktury półprzewodnikowej układu cyfrowego. Wielokrotne wykorzystanie oraz wszechstronność zastosowań umoŝliwiło znaczny spadek cen takich układów i ich powszechność w uŝytkowaniu. Wraz z ich rozwojem dokonał się takŝe znaczny postęp w narzędziach wspomagających ich projektowanie. Oba te czynniki miały wpływ na ukierunkowanie badań na modelowanie reprogramowalnych układów prądowych pracujących w logice wielowartościowej. Bramki cyfrowe pracujące w trybie prądowym charakteryzują się stałym poziomem zuŝywanego prądu w róŝnych trybach pracy. Ma to wpływ na zmniejszenie zakłóceń, co jest istotne dla mieszanych układów analogowo-cyfrowych. Tryb prądowy pracy układu cyfrowego oznacza, Ŝe poziom logiczny 0 odpowiada prądowi o wartości zero, a poziom logiczny 1 odpowiada pewnej ustalonej wartości prądu wpływającego na wejście bramki lub wypływającego z jej wyjścia. W odróŝnieniu od funkcjonowania klasycznych bramek napięciowych, bramki prądowe mogą posiadać na wyjściu trzeci stan logiczny -1 w przypadku którego prąd z wyjścia bramki nie wypływa, ale do tego wyjścia wpływa. Z tego względu wynika, Ŝe fizyczne i logiczne zasady funkcjonowania bramek są inne od bramek napięciowych. W związku z tym algebra bramek prądowych nie jest algebrą binarną [1]. Rozwój prac nad koncepcją bramki prądowej wymusił zastosowanie oprogramowania wspomagającego projektowanie na poziomie logicznym. Coraz bardziej złoŝone układy cyfrowe wymagały formalnej metody projektowania jak i efektywnego języka opisu takich układów[2]. Jako bazę do tworzenia wszelkiego rodzaju projektów wybrano język VHDL (ang. Very high level Hardware Description Language) oraz związane z nim środowisko projektowe ActiveHDL firmy Aldec, Inc.[3]. Pierwsze prace [4] nad koncepcją reprogramowalnego układu prądowego oparto na budowie istniejących matrycowych blokach logicznych CLB układów FPGA (ang. Field-Programmable Gate Array). Podstawowym załoŝeniem jakie przyjęto to binarny format danych pobieranych i przekazywanych na zewnątrz. Wewnętrznie zaprojektowane modele bloków CLB pracowały zgodnie z wielowartościową logiką prądową. Przejście na rekonfigurowalne układy prądowe pracujące w całości na logice wielowartościowej wymusiło opracowanie koncepcji opartej o nowe bloki K, I oraz AI. Uzyskano w ten sposób pełniejsze wykorzystanie moŝliwości nowych architektur w zastosowaniach wielowartościowych (np. w rozwiązaniach z arytmetyką resztową).

2 MODELOWANIE UKŁADÓW PRĄDOWYCH MoŜna określić kilka poziomy modelowania układów prądowych: niskopoziomowe przy uŝyciu modeli tranzystorowych i symulacji SPICE; projekt opisany bezpośrednio na poziomie bramek prądowych w języku VHDL; projekt oparty na elementarnych blokach K, I, AI schematów BDE; projekt opisany jako odpowiednio skonfigurowany układ reprogramowalny MVL. Efekty pracy związanej z koncepcją bramek prądowych, ich symulacji i fizycznych realizacji w strukturach krzemowych zostały szczegółowo opisane w publikacji [4]. Projektowanie bardziej złoŝonych architektur wymusiło przejście na wyŝszy poziom modelowania jakim jest modelowanie logiczne. Przy uŝyciu zaprojektowanej biblioteki bramek prądowych nstd_logic_2000, zgodnie z zasadami algebry prądowej, istnieje moŝliwość wizualnego budowania schematów prądowych i ich symulacji w środowisku ActiveHDL. a) b) Rys. 1. Przykładowe schemat realizacji: a) funkcji f = X1 X 2 X 3 X 4 i b) sumatora jednobitowego Na rys. 1 przedstawiono dwa przykładowe schematy układów zgodnych z zasadami opracowanymi dla cyfrowych bramek pracujących w trybie prądowym. Na podstawie schematów moŝna zauwaŝyć szczególne właściwości technologii prądowej: występowanie węzłów spływowych dla prądów oraz tego, Ŝe bramki prądowe mają tylko jedno wejście i jedno lub wiele wyjść. Koncepcja węzłów jest o tyle waŝna, Ŝe spływ prądów oznacza wykonanie operacji arytmetycznego dodawania. Rys. 2. Przykładowa realizacja wielowyjściowej bramki prądowej (o podstawie N=2)

3 Przy zastosowaniu prądowych bramek cyfrowych w układach pracujących w logice wielowartościowej celowe jest wyselekcjonowanie części ich wewnętrznej budowy do specjalnych komponetów w tym celu, aby z określonej ich liczby i odpowiednich połączeń uzyskiwać róŝne typy bramek prądowych odpowiadające bibliotece nstd_logic_2000. W tym celu opracowano trzy typy bloków: K, I oraz AI, których złoŝenie umoŝliwia realizację dowolnej bramki prądowej. Na rys. 2 przedstawiono przykładowy odpowiednik wielowyjściowej bramki prądowej zbudowanej przy pomocy bloków K,I,AI. Wyjście inwertera (wyprowadzenie Y1) i anty-inwertera (wyprowadzenie Y2) zawierają pojedynczy blok funkcyjny (I lub AI), w przypadku podwójnego inwertera (wyprowadzenie Y3) oraz anty-podwójnego inwertera (wyprowadzenie Y4) naleŝy stosować dodatkowo wstępny blok I. Więcej na temat budowy, typów i konwencji nazewniczych bramek prądowych moŝna odnaleźć w publikacjach [1,2,4]. Bardziej złoŝony przykład zaprezentowano na rys. 3. Schemat jednobitowego sumatora prądowego zawiera trzy bloki K formujące kaŝdy oddzielny sygnał. Dodatkowo dodano bloki IOB (wejścia/wyjścia) zgodne z dalszymi modelami układów reprogramowalnych. Rys. 3. Przykładowe schemat realizacji sumatora jednobitowego z zastosowaniem bloków K, I,AI i IOB MODEL REPROGRAMOWALNEGO UKŁADU PRĄDOWEGO Realizacja procesu projektowego składa się z kilku etapów: projektowanie modelu układu reprogramowalnego; konfiguracja układu reprogramowalnego do realizacji konkretnego schematu; weryfikacja i porównanie zgodności z zaprojektowanym schematem bazującym na standardowej biblioteki bramek prądowych. Celem etapu projektowania modelu układu reprogramowalnego jest jego późniejsza konwersja do postaci dokumentu XML opisu tej architektury. Ma to umoŝliwić generację bliźniaczych architektur o większych rozmiarach bez ich ponownego projektowania. Opis VHDL komponentów układu reprogrmowalnego (K,I, A, IOB, przełączniki) Opis BDE architektury reprogramowalnego układu prądowego PLATFORMA Eclipse (JAVA) Analizator plików BDE Opis XML Opis SVG ŚRODOWISKO ActiveHDL Rys. 4. Schemat blokowy środowiska do analizy plików BDE

4 Narzędzie analizatora plików BDE dokonuje analizy opisu architektury i przekształca dane na dwa typy formatów XML (ang. extensible Markup Language) i SVG (ang. Scalable Vector Graphics). Schemat blokowy tego rozwiązania przedstawiono na rys. 4, a przykładową architekturę reprogramowalnego układu prądowego przedstawiono (dokument BDE) na rys. 5. Rys. 5. Widok przykładowej architektury reprogramowalnego układu prądowego (Active HDL) Proces dalszego przetwarzania oparty jest na dokumencie XML zawierającym opis architektury. Na rys. 6 przedstawiono schemat blokowy przetwarzania wejściowych plików XML (opisu architektury i dodatkowej konfiguracji). PoniewaŜ format XML doskonale nadaje się do danych i ich przetwarzania to poprzez zastosowanie odpowiednich skryptów transformacji XSLT (ang. XSL Transformations, Extensible Stylesheet Language Transformations) uzyskuje się wynikowy kod skonfigurowanej architektury oraz automatyczną generację dodatkowych dokumentów do opisu testów jednostkowych biblioteki vhdlunit. Opis architektury (xml) + konfiguracja Transformacja projektu XML+XSLT VHDL Model VHDL nstd_logic_2000 Model VHDL nstd_mvl_2005 vhdlunit Opis Opis Architektury Opis XSLT Architektury modelu XSLT XSLT Rys. 6. Schemat blokowy środowiska do generowania modeli architektur reprogramowalnych układów prądowych

5 Całość wspomagająca cały proces analizy dokumentów BDE i transformacji XSLT oparta została o bibliotekę współpracującą z Platformą ECLIPSE ( ). Widok środowiska z przykładowymi plikami XML i SVG przedstawiono na rys. 7. Projekt wykorzystuje wtyczkową architekturę, dzięki temu w jednym środowisku moŝna implementować jednocześnie róŝne mechanizmy. Jednym z nich jest np. projekt wtyczki do prezentacji i refaktoryzacji kodu języka VHDL vdtproject ( ). Rys. 7. Modelowanie reprogramowalnego układu prądowego (platfotma Eclipse) TESTOWANIE I WERYFIKACJA Testowanie jest jednym z najwaŝniejszych mechanizmów podnoszących jakości tworzonego projektu. Ma to szczególne znaczenie dla realizacji sprzętowych w postaci układu scalonego, którego poprawianie jest niemoŝliwe lub bardzo kosztowne. W celu jak najlepszego przetestowania schematów układów prądowych zaprojektowano biblioteką testów jednostkowych vhdlunit. Podstawowym celem tworzenia testów jest programowa symulacja i weryfikacja projektu wraz z raportowaniem wyników na podstawie zaprojektowanych metod asercji wymuszeń zachowania określonego warunku pracy symulowanego systemu. Tworzenie złoŝonych modeli rekonfigurowalnych układów prądowych wraz z zastosowaniem biblioteki vhdlunit umoŝliwiło kompleksowe sprawdzanie grupy wszystkich testów dzięki zastosowaniu specjalnych procesów testowych pracujących w wielowartościowej logice prądowej. Projekt vhdlunit został szczegółowo opisany w publikacjach [5 i 6] i jest dostępny pod adresem

6 WNIOSKI W pracy zaprezentowano modelowanie projektów reprogramowalnych układów prądowych poprzez opis mechanizmów uczestniczących w tym procesie. Dalsze etapu rozwoju projektu polegają na opracowaniu zestawu szeregu testów i dokonaniu oceny wymagań co do ilości/proporcji komonentów K, I, i AI oraz ewentualnych modyfikacji architektur połączeń. Celem jest zwiększenie dopasowania poprzez lepsze wykorzystanie rekonfigurowalnej struktury układu reprogramowalnego. Dodatkowo naleŝy opracować mechanizmy generacji struktur o większych wymiarach, ale w taki sposób, aby konfiguracje przy ich mniejszych odpowiednikach nie musiały być modyfikowane i były zgodne dla rodziny architektury o większych wymiarach. Zasadniczym problemem jest jednak brak narzędzi automatyzujących proces rozmieszczania i mapowania połączeń (ang. place&route). Nie było to jednak celem dotychczasowych badań. W modelu zastosowano opis behawioralny funkcjonowania matrycy przełączników. W przypadku rzeczywistej implementacji naleŝy uwzględniać dodatkowe elementy wynikające z wykorzystania technologii bramek prądowych. Jest to wynik złoŝoności realizacji i trudności w ich symulowaniu na poziomie logicznym przy uŝyciu języka VHDL [7]. W pracy pominięto omówienie koncepcji technologii prądowej, która szczegółowo została opisana w publikacji [1] i skupiono się na komputerowych narzędziach i mechanizmach wspomagających projektowanie. BIBLIOGRAFIA [1] M. Białko, O. Maslennikow, N. Maslennikow, P. Pawłowski Układy cyfrowe zbudowane w oparciu o bramki prądowe: stan obecny, perspektywy rozwoju i zastosowania. Prace III Konferencji Krajowej Elektroniki, KKE 2004, Kołobrzeg, 2004, pp [2] O. Maslennikow, P. Pawłowski, P. Sołtan, R. Berezowski, Current-Mode Digital Gates and Circuits:Conception, Design and Verification. Proc. of the IEEE Int.Conf. on Electronic Circuits and Systems, ICECS 2002, Horwacja. [3] Środowisko ActiveHDL Aldec, Inc. - [4] P. Pawłowski Ocena przydatności bramek cyfrowych pracujących w trybie prądowym w mieszanych systemach analogowo-cyfrowych. Rozprawa doktorska, Politechnika Koszalińska, Koszalin, [5] P. Sołtan Koncepcja realizacji testów jednostkowych w języku VHDL. Prace VII Konferencji Krajowej Reprogramowalne układy cyfrowe, RUC 2004, Szczecin, 2004, pp [6] P. Sołtan Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach FPGA pracujących w trybie prądowym. Prace III Konferencji Krajowej Elektroniki, KKE 2004, Kołobrzeg, [7] P. Sołtan, O. Maslennikow Model reprogramowalnego układu działającego w logice wielowartościowej. Prace IV Konferencji Krajowej Elektroniki, KKE 2005, Darłówko Wschodnie, 2005, pp [8] Eclipse Platform - [9] Strona domowa projektów związanych z prądową logiką wielowartościową Praca wykonana w ramach grantu KBN 3T11B 05926

Model reprogramowalnego prądowego układu działającego w logice wielowartościowej

Model reprogramowalnego prądowego układu działającego w logice wielowartościowej Przemysław Sołtan Oleg Maslennikow Wydział Elektroniki Politechnika Koszalińska ul. JJ Śniadeckich 2, 75-453 Koszalin e-mail: kerk@ie.tu.koszalin.pl Model reprogramowalnego prądowego układu działającego

Bardziej szczegółowo

Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach FPGA pracujących w trybie prądowym

Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach FPGA pracujących w trybie prądowym Przemysław Sołtan Wydział Elektroniki Politechnika Koszalińska ul. Śniadeckich 2, 75-453 Koszalin e-mail: kerk@ie.tu.koszalin.pl Weryfikacja logiczna projektów VHDL realizowanych w reprogramowalnych układach

Bardziej szczegółowo

Automatyzacja procesu implementacji układów cyfrowych w technologii prądowych układów FPGA

Automatyzacja procesu implementacji układów cyfrowych w technologii prądowych układów FPGA Przemysław Sołtan Oleg Maslennikow Wydział Elektroniki Politechnika Koszalińska ul. Partyzantów 17, 75-411 Koszalin Robert Berezowski Magdalena Rajewska Automatyzacja procesu implementacji układów cyfrowych

Bardziej szczegółowo

Wizualizacja struktur macierzy procesorowych w standardzie SVG

Wizualizacja struktur macierzy procesorowych w standardzie SVG Przemysław Sołtan, Oleg Maslennikow, Piotr Ratuszniak Wydział Elektroniki Politechnika Koszalińska, Koszalin Wizualizacja struktur macierzy procesorowych w standardzie SVG STRESZCZENIE W niniejszej pracy

Bardziej szczegółowo

Przerzutniki prądowe dla logiki wielowartościowej i arytmetyki resztowej

Przerzutniki prądowe dla logiki wielowartościowej i arytmetyki resztowej Oleg Maslennikow Michał Białko Wydział Elektroniki Politechnika Koszalińska ul. Partyzantów 17, 75-411 Koszalin email: oleg@ie.tu.koszalin.pl Piotr Pawłowski Robert Berezowski Przerzutniki prądowe dla

Bardziej szczegółowo

Projekt i weryfikacja praktyczna podstawowych bloków układów FPGA zbudowanych w oparciu o bramki prądowe

Projekt i weryfikacja praktyczna podstawowych bloków układów FPGA zbudowanych w oparciu o bramki prądowe Robert Berezowski Magdalena Rajewska Politechnika Koszalińska Wydział Elektroniki ul. Śniadeckich 2, 75-453 Koszalin email: beny@ie.tu.koszalin.pl Dariusz Gretkowski Piotr Pawłowski Projekt i weryfikacja

Bardziej szczegółowo

MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE

MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE Oeg Maslennikow, Robert Berezowski, Przemysław Sołtan Politechnika Koszalińska, Wydział Elektroniki, ul. Partyzantów 17, 75-411 Koszalin

Bardziej szczegółowo

Minimalizacja funkcji logicznych w algebrze bramek prądowych

Minimalizacja funkcji logicznych w algebrze bramek prądowych Oleg Maslennikow Wydział Elektroniki Politechnika Koszalińska ul. JJ Śniadeckich, 75-45 Koszalin e-mail: oleg@ie.tu.koszalin.pl Minimalizacja funkcji logicznych w algebrze bramek prądowych Słowa kluczowe:

Bardziej szczegółowo

Programowane połączenia w układach FPMA

Programowane połączenia w układach FPMA Piotr Pawłowski Michał Białko Wydział Elektroniki Politechnika Koszalińska ul. Partyzantów 17, 75-411 Koszalin Oleg Maslennikow Przemysław Sołtan Programowane połączenia w układach FPMA Słowa kluczowe:

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5.

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Klasa III Opracuj projekt realizacji prac związanych z badaniem działania cyfrowych bloków arytmetycznych realizujących operacje

Bardziej szczegółowo

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool

Wykorzystanie bramek prądowych i napięciowych CMOS do realizacji funkcji bloku S-box algorytmu Whirlpool Magdalena Rajewska Robert Berezowski Oleg Maslennikow Adam Słowik Wydział Elektroniki i Informatyki Politechnika Koszalińska ul. JJ Śniadeckich 2, 75-453 Koszalin Wykorzystanie bramek prądowych i napięciowych

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Szybkie prototypowanie w projektowaniu mechatronicznym

Szybkie prototypowanie w projektowaniu mechatronicznym Szybkie prototypowanie w projektowaniu mechatronicznym Systemy wbudowane (Embedded Systems) Systemy wbudowane (ang. Embedded Systems) są to dedykowane architektury komputerowe, które są integralną częścią

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

Słowem wstępu. Część rodziny języków XSL. Standard: W3C XSLT razem XPath 1.0 XSLT Trwają prace nad XSLT 3.0

Słowem wstępu. Część rodziny języków XSL. Standard: W3C XSLT razem XPath 1.0 XSLT Trwają prace nad XSLT 3.0 Słowem wstępu Część rodziny języków XSL Standard: W3C XSLT 1.0-1999 razem XPath 1.0 XSLT 2.0-2007 Trwają prace nad XSLT 3.0 Problem Zakładane przez XML usunięcie danych dotyczących prezentacji pociąga

Bardziej szczegółowo

Sposoby projektowania systemów w cyfrowych

Sposoby projektowania systemów w cyfrowych Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie

Bardziej szczegółowo

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Arkadiusz Bukowiec 1 Radosław Gąsiorek 2 Agnieszka Węgrzyn 3 Prezentowany referat przedstawia ogólną koncepcję

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Dokument Detaliczny Projektu

Dokument Detaliczny Projektu Dokument Detaliczny Projektu Dla Biblioteki miejskiej Wersja 1.0 Streszczenie Niniejszy dokument detaliczny projektu(ddp) przedstawia szczegóły pracy zespołu projektowego, nad stworzeniem aplikacji bazodanowej

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop. 2017 Spis treści O autorze 9 Wprowadzenie 11 Rozdział 1. Sterownik przemysłowy 15 Sterownik S7-1200 15 Budowa zewnętrzna

Bardziej szczegółowo

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i

Bardziej szczegółowo

A gdyby tak posterować prądem...

A gdyby tak posterować prądem... Zeszyty Naukowe Wydziału Elektroniki i Informatyki, Nr 3, pp. 9 28, 2011 dr inż. Radosław Łuczak Wydział Elektroniki i Informatyki Politechniki Koszalińskiej ul. Śniadeckich 2, 75 411 Koszalin rluczak@ie.tu.koszalin.pl

Bardziej szczegółowo

Wprowadzenie do arkuszy stylistycznych XSL i transformacji XSLT

Wprowadzenie do arkuszy stylistycznych XSL i transformacji XSLT Wprowadzenie do arkuszy stylistycznych XSL i transformacji XSLT Marek Wojciechowski marek@cs.put.poznan.pl http://www.cs.put.poznan.pl/~marek/ Formatowanie dokumentów XML Język XML opisuje strukturę i

Bardziej szczegółowo

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne Załącznik nr do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Politechnika Śląska w Gliwicach Wydział Automatyki Elektroniki i Informatyki Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Promotor dr inż. Jacek Loska Wojciech Klimeczko

Bardziej szczegółowo

Ćw. 8 Bramki logiczne

Ćw. 8 Bramki logiczne Ćw. 8 Bramki logiczne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi, poznanie ich rodzajów oraz najwaŝniejszych parametrów opisujących ich własności elektryczne.

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Układy cyfrowe zbudowane w oparciu o bramki prądowe: stan obecny, perspektywy rozwoju i zastosowania

Układy cyfrowe zbudowane w oparciu o bramki prądowe: stan obecny, perspektywy rozwoju i zastosowania Michał Białko Oleg Maslennikow Politechnika oszalińska Wydział Elektroniki ul. Śniadeckich 2, 75-453 oszalin email: oleg@ie.tu.koszalin.pl Natalia Maslennikowa Piotr Pawłowski Układy cyfrowe zbudowane

Bardziej szczegółowo

Uniwersytet Warszawski Wydział Matematyki, Informatyki i Mechaniki. Paweł Parys. Nr albumu: 209216. Aukcjomat

Uniwersytet Warszawski Wydział Matematyki, Informatyki i Mechaniki. Paweł Parys. Nr albumu: 209216. Aukcjomat Uniwersytet Warszawski Wydział Matematyki, Informatyki i Mechaniki Paweł Parys Nr albumu: 209216 Aukcjomat Praca licencjacka na kierunku INFORMATYKA w zakresie INFORMATYKA Praca wykonana pod kierunkiem

Bardziej szczegółowo

Produktywne tworzenie aplikacji webowych z wykorzystaniem Groovy i

Produktywne tworzenie aplikacji webowych z wykorzystaniem Groovy i Program szkolenia: Produktywne tworzenie aplikacji webowych z wykorzystaniem Groovy i Informacje: Nazwa: Kod: Kategoria: Grupa docelowa: Czas trwania: Forma: Produktywne tworzenie aplikacji webowych z

Bardziej szczegółowo

Wprowadzenie do metodologii modelowania systemów informacyjnych. Strategia (1) Strategia (2) Etapy Ŝycia systemu informacyjnego

Wprowadzenie do metodologii modelowania systemów informacyjnych. Strategia (1) Strategia (2) Etapy Ŝycia systemu informacyjnego Etapy Ŝycia systemu informacyjnego Wprowadzenie do metodologii modelowania systemów informacyjnych 1. Strategia 2. Analiza 3. Projektowanie 4. Implementowanie, testowanie i dokumentowanie 5. WdroŜenie

Bardziej szczegółowo

APLIKACJE KLIENT-SERWER Client-Server Applications Forma studiów: Stacjonarne Poziom kwalifikacji: I stopnia. Liczba godzin/tydzień: 2W, 2L

APLIKACJE KLIENT-SERWER Client-Server Applications Forma studiów: Stacjonarne Poziom kwalifikacji: I stopnia. Liczba godzin/tydzień: 2W, 2L Nazwa przedmiotu: Kierunek: Informatyka Rodzaj przedmiotu: moduł specjalności obowiązkowy: Sieci komputerowe Rodzaj zajęć: wykład, laboratorium APLIKACJE KLIENT-SERWER Client-Server Applications Forma

Bardziej szczegółowo

Opracowanie systemu sterowania wybranej linii technologicznej z uwzględnieniem zagadnień inżynierii oprogramowania

Opracowanie systemu sterowania wybranej linii technologicznej z uwzględnieniem zagadnień inżynierii oprogramowania 1 Opracowanie systemu sterowania wybranej linii technologicznej z uwzględnieniem zagadnień inżynierii oprogramowania Martyna MICHALEC Kierujący projektem: dr hab. inż. Marek FIDALI, prof. Pol. Śl. Opiekun:

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Bazy danych Database Kierunek: Rodzaj przedmiotu: obieralny Rodzaj zajęć: wykład, laboratorium Matematyka Poziom kwalifikacji: I stopnia Liczba godzin/tydzień: 2W, 2L Semestr: III Liczba

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Modelowanie logiki rewersyjnej w języku VHDL

Modelowanie logiki rewersyjnej w języku VHDL PNIEWSKI Roman 1 Modelowanie logiki rewersyjnej w języku VHDL WSTĘP Konwencjonalne komputery wykorzystują dwuwartościową logikę Boole a. Funkcje opisujące układ cyfrowy wykorzystują najczęściej dwa operatory

Bardziej szczegółowo

Ćwiczenie ZINTEGROWANE SYSTEMY CYFROWE. Pakiet edukacyjny DefSim Personal. Analiza prądowa IDDQ

Ćwiczenie ZINTEGROWANE SYSTEMY CYFROWE. Pakiet edukacyjny DefSim Personal. Analiza prądowa IDDQ Ćwiczenie 2 ZINTEGROWANE SYSTEMY CYFROWE Pakiet edukacyjny DefSim Personal Analiza prądowa IDDQ K A T E D R A M I K R O E L E K T R O N I K I I T E C H N I K I N F O R M A T Y C Z N Y C H Politechnika

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Ćwiczenie 24 Temat: Układy bramek logicznych pomiar napięcia i prądu. Cel ćwiczenia

Ćwiczenie 24 Temat: Układy bramek logicznych pomiar napięcia i prądu. Cel ćwiczenia Ćwiczenie 24 Temat: Układy bramek logicznych pomiar napięcia i prądu. Cel ćwiczenia Poznanie własności i zasad działania różnych bramek logicznych. Zmierzenie napięcia wejściowego i wyjściowego bramek

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1

Sterowniki PLC. Elektrotechnika II stopień Ogólno akademicki. przedmiot kierunkowy. Obieralny. Polski. semestr 1 Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-E2T-09-s2 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable Logic

Bardziej szczegółowo

Projektowanie i symulacja systemu pomiarowego do pomiaru temperatury

Projektowanie i symulacja systemu pomiarowego do pomiaru temperatury Paweł PTAK Politechnika Częstochowska, Polska Projektowanie i symulacja systemu pomiarowego do pomiaru temperatury Wstęp Temperatura należy do grupy podstawowych wielkości fizycznych. Potrzeba pomiarów

Bardziej szczegółowo

Zagadnienia egzaminacyjne INFORMATYKA. stacjonarne. I-go stopnia. (INT) Inżynieria internetowa STOPIEŃ STUDIÓW TYP STUDIÓW SPECJALNOŚĆ

Zagadnienia egzaminacyjne INFORMATYKA. stacjonarne. I-go stopnia. (INT) Inżynieria internetowa STOPIEŃ STUDIÓW TYP STUDIÓW SPECJALNOŚĆ (INT) Inżynieria internetowa 1.Tryby komunikacji między procesami w standardzie Message Passing Interface. 2. HTML DOM i XHTML cel i charakterystyka. 3. Asynchroniczna komunikacja serwerem HTTP w technologii

Bardziej szczegółowo

Architektura Systemu. Architektura systemu umożliwia kontrolowanie iteracyjnego i przyrostowego procesu tworzenia systemu.

Architektura Systemu. Architektura systemu umożliwia kontrolowanie iteracyjnego i przyrostowego procesu tworzenia systemu. Architektura Systemu Architektura systemu umożliwia kontrolowanie iteracyjnego i przyrostowego procesu tworzenia systemu. Architektura jest zbiorem decyzji dotyczących: organizacji systemu komputerowego,

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki

Podstawy Automatyki. Wykład 13 - Układy bramkowe. dr inż. Jakub Możaryn. Warszawa, Instytut Automatyki i Robotyki Wykład 13 - Układy bramkowe Instytut Automatyki i Robotyki Warszawa, 2015 Układy z elementów logicznych Bramki logiczne Elementami logicznymi (bramkami logicznymi) są urządzenia o dwustanowym sygnale wyjściowym

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI

OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI Arkadiusz Bukowiec Roman Drożdżyński mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki,

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Języki opisu sprzętu VHDL Mariusz Rawski

Języki opisu sprzętu VHDL Mariusz Rawski CAD Języki opisu sprzętu VHDL rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Języki opisu sprzętu System cyfrowy może być opisany na różnych poziomach abstrakcji i z wykorzystaniem różnych sposobów

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 4 BADANIE BRAMEK LOGICZNYCH A. Cel ćwiczenia. - Poznanie zasad logiki binarnej. Prawa algebry Boole

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Web frameworks do budowy aplikacji zgodnych z J2EE. Jacek Panachida

Web frameworks do budowy aplikacji zgodnych z J2EE. Jacek Panachida Web frameworks do budowy aplikacji zgodnych z J2EE Jacek Panachida Cel pracy Analiza wybranych ram projektowych dostępnych dla platformy Java Warunki selekcji napisany z wykorzystaniem języka Java oraz

Bardziej szczegółowo

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów...

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów... Spis treści 3 1. Podstawowe wiadomości...9 1.1. Sterowniki podstawowe wiadomości...10 1.2. Do czego służy LOGO!?...12 1.3. Czym wyróżnia się LOGO!?...12 1.4. Pierwszy program w 5 minut...13 Oświetlenie

Bardziej szczegółowo

Regulator PID w sterownikach programowalnych GE Fanuc

Regulator PID w sterownikach programowalnych GE Fanuc Regulator PID w sterownikach programowalnych GE Fanuc Wykład w ramach przedmiotu: Sterowniki programowalne Opracował na podstawie dokumentacji GE Fanuc dr inż. Jarosław Tarnawski Cel wykładu Przypomnienie

Bardziej szczegółowo

Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych

Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych Autor: Piotr Majkowski Pod opieką: prof. Zbigniew Kotulski Politechnika

Bardziej szczegółowo

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493 Laboratorium Komputerowe Wspomaganie Projektowania Układów Elektronicznych Jarosław Gliwiński, Paweł Urbanek 1. Cel ćwiczenia ćw. Symulacja układów cyfrowych Data wykonania: 16.05.08 Data oddania: 30.05.08

Bardziej szczegółowo

Technologia informacyjna

Technologia informacyjna Technologia informacyjna Pracownia nr 9 (studia stacjonarne) - 05.12.2008 - Rok akademicki 2008/2009 2/16 Bazy danych - Plan zajęć Podstawowe pojęcia: baza danych, system zarządzania bazą danych tabela,

Bardziej szczegółowo

PL B1. POLITECHNIKA WARSZAWSKA, Warszawa, PL BUP 04/11. KRZYSZTOF GOŁOFIT, Lublin, PL WUP 06/14

PL B1. POLITECHNIKA WARSZAWSKA, Warszawa, PL BUP 04/11. KRZYSZTOF GOŁOFIT, Lublin, PL WUP 06/14 PL 217071 B1 RZECZPOSPOLITA POLSKA (12) OPIS PATENTOWY (19) PL (11) 217071 (13) B1 (21) Numer zgłoszenia: 388756 (51) Int.Cl. H03K 3/023 (2006.01) Urząd Patentowy Rzeczypospolitej Polskiej (22) Data zgłoszenia:

Bardziej szczegółowo

Projektowanie systemów za pomocą języków wysokiego poziomu ESL

Projektowanie systemów za pomocą języków wysokiego poziomu ESL Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Projektowanie systemów za pomocą języków wysokiego poziomu ESL Ćwiczenie 2 Implementacja funkcji Hash z użyciem

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

To jeszcze prostsze, MMcc1100!

To jeszcze prostsze, MMcc1100! MMcc1100 jest miniaturowym, kompletnym modułem nadawczo-odbiorczym (transceiverem), słuŝącym do przesyłania danych w postaci cyfrowej, zbudowanym w oparciu o układ CC1100 firmy Texas Instruments. Moduł

Bardziej szczegółowo

Biorąc udział w projekcie, możesz wybrać jedną z 8 bezpłatnych ścieżek egzaminacyjnych:

Biorąc udział w projekcie, możesz wybrać jedną z 8 bezpłatnych ścieżek egzaminacyjnych: Egzaminy na plus Stres na minus! Zdawaj bezpłatne egzaminy Microsoft, Linux, C++ z nami i zadbaj o swoją karierę. Oferujemy Ci pierwsze certyfikaty zawodowe w Twojej przyszłej karierze, które idealnie

Bardziej szczegółowo

Podzespoły i układy scalone mocy część II

Podzespoły i układy scalone mocy część II Podzespoły i układy scalone mocy część II dr inż. Łukasz Starzak Katedra Mikroelektroniki Technik Informatycznych ul. Wólczańska 221/223 bud. B18 pok. 51 http://neo.dmcs.p.lodz.pl/~starzak http://neo.dmcs.p.lodz.pl/uep

Bardziej szczegółowo

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

OPROGRAMOWANIE DEFSIM2

OPROGRAMOWANIE DEFSIM2 Politechnika Warszawska Wydział Elektroniki i Technik Informacyjnych OPROGRAMOWANIE DEFSIM2 Instrukcja użytkownika mgr inż. Piotr Trochimiuk, mgr inż. Krzysztof Siwiec, prof. nzw. dr hab. inż. Witold Pleskacz

Bardziej szczegółowo

Tester oprogramowania 2014/15 Tematy prac dyplomowych

Tester oprogramowania 2014/15 Tematy prac dyplomowych Tester oprogramowania 2014/15 Tematy prac dyplomowych 1. Projekt i wykonanie automatycznych testów funkcjonalnych wg filozofii BDD za pomocą dowolnego narzędzia Jak w praktyce stosować Behaviour Driven

Bardziej szczegółowo

Międzyplatformowy interfejs systemu FOLANessus wykonany przy użyciu biblioteki Qt4

Międzyplatformowy interfejs systemu FOLANessus wykonany przy użyciu biblioteki Qt4 Uniwersytet Mikołaja Kopernika w Toruniu Wydział Matematyki i Informatyki Wydział Fizyki, Astronomii i Informatyki Stosowanej Agnieszka Holka Nr albumu: 187396 Praca magisterska na kierunku Informatyka

Bardziej szczegółowo

Sterowniki programowalne Programmable Controllers. Energetyka I stopień Ogólnoakademicki. przedmiot kierunkowy

Sterowniki programowalne Programmable Controllers. Energetyka I stopień Ogólnoakademicki. przedmiot kierunkowy Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Sterowniki programowalne Programmable Controllers

Bardziej szczegółowo

Wejścia logiczne w regulatorach, sterownikach przemysłowych

Wejścia logiczne w regulatorach, sterownikach przemysłowych Wejścia logiczne w regulatorach, sterownikach przemysłowych Semestr zimowy 2013/2014, WIEiK PK 1 Sygnały wejściowe/wyjściowe w sterowniku PLC Izolacja galwaniczna obwodów sterownika Zasilanie sterownika

Bardziej szczegółowo

NIEZAWODNE ROZWIĄZANIA SYSTEMÓW AUTOMATYKI. asix. Aktualizacja pakietu asix 4 do wersji 5 lub 6. Pomoc techniczna

NIEZAWODNE ROZWIĄZANIA SYSTEMÓW AUTOMATYKI. asix. Aktualizacja pakietu asix 4 do wersji 5 lub 6. Pomoc techniczna NIEZAWODNE ROZWIĄZANIA SYSTEMÓW AUTOMATYKI asix Aktualizacja pakietu asix 4 do wersji 5 lub 6 Pomoc techniczna Dok. Nr PLP0016 Wersja:08-12-2010 ASKOM i asix to zastrzeżony znak firmy ASKOM Sp. z o. o.,

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia

Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia Poznanie zasad budowy działania komparatorów cyfrowych. Konstruowanie komparatorów

Bardziej szczegółowo

Niestacjonarne Inżynieria Zarządzania Katedra Automatyki i Robotyki Dr D. Janecki. Specjalnościowy Obowiązkowy Polski Semestr drugi

Niestacjonarne Inżynieria Zarządzania Katedra Automatyki i Robotyki Dr D. Janecki. Specjalnościowy Obowiązkowy Polski Semestr drugi KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2013/2014 Sterowniki PLC w systemach produkcyjnych. Manufacturing systems with

Bardziej szczegółowo

Ćwiczenie 1 Program Electronics Workbench

Ćwiczenie 1 Program Electronics Workbench Systemy teleinformatyczne Ćwiczenie Program Electronics Workbench Symulacja układów logicznych Program Electronics Workbench służy do symulacji działania prostych i bardziej złożonych układów elektrycznych

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA BRAMKI. Rev.1.0

LABORATORIUM TECHNIKA CYFROWA BRAMKI. Rev.1.0 LABORATORIUM TECHNIKA CYFROWA BRAMKI Rev..0 LABORATORIUM TECHNIKI CYFROWEJ: Bramki. CEL ĆWICZENIA - praktyczna weryfikacja wiedzy teoretycznej z zakresu działania bramek, - pomiary parametrów bramek..

Bardziej szczegółowo

Kurs wybieralny: Zastosowanie technik informatycznych i metod numerycznych w elektronice

Kurs wybieralny: Zastosowanie technik informatycznych i metod numerycznych w elektronice Kurs wybieralny: Zastosowanie technik informatycznych i metod numerycznych w elektronice Opis kursu Przygotowanie praktyczne do realizacji projektów w elektronice z zastosowaniem podstawowych narzędzi

Bardziej szczegółowo

E-4EZA1-10-s7. Sterowniki PLC

E-4EZA1-10-s7. Sterowniki PLC Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu E-4EZA1-10-s7 Nazwa modułu Sterowniki PLC Nazwa modułu w języku angielskim Programmable

Bardziej szczegółowo

Budowa aplikacji webowej w oparciu o Maven2 oraz przykłady testów jednostkowych. Wykonał Marcin Gadamer

Budowa aplikacji webowej w oparciu o Maven2 oraz przykłady testów jednostkowych. Wykonał Marcin Gadamer Budowa aplikacji webowej w oparciu o Maven2 oraz przykłady testów jednostkowych. Wykonał Marcin Gadamer Maven 2 podstawowe informacje Apache Maven jest narzędziem automatyzującym budowę oprogramowania

Bardziej szczegółowo