LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające

Wielkość: px
Rozpocząć pokaz od strony:

Download "LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające"

Transkrypt

1 2 Cyfrowe układy sekwencyjne Cel ćwiczenia LABORATORIUM ELEKTRONIKI Celem ćwiczenia jest zapoznanie się z cyfrowymi elementami pamiętającymi, budową i zasada działania podstawowych przerzutników oraz liczników cyfrowych z wykorzystaniem cyfrowych układów TTL małej i średniej skali integracji (bramek NAND i NOR). 2.1 Sekwencyjne układy pamiętające Układy (cyfrowe) logiczne dzieli się na układy kombinacyjne i sekwencyjne. Układem kombinacyjnym nazywamy układ, w którym kombinacje sygnałów wejściowych w sposób jednoznaczny określają kombinacje wartości sygnałów wyjściowych. Oznacza to, że stan poziomów wyjściowych w układzie kombinacyjnym zależy w każdej chwili tylko od aktualnego stanu poziomów sygnałów wejściowych. Układem sekwencyjnym (ang. sequential logic circuits) nazywamy układ, w którym poziomy sygnałów wyjściowych zależą nie tylko od aktualnego stanu poziomów sygnałów na jego wejściu ale również od stanu poziomów, które występowały uprzednio. Oznacza to, że układy te zawierają elementy pamiętające i nazywane są czasem układami kombinacyjnymi z pamięcią rys. 1. Cyfrowe układy sekwencyjne Rys. 1 Schemat układu sekwencyjnego 2 Cyfrowe układy sekwencyjne 2.1 Sekwencyjne układy pamiętające 2.2 Przerzutniki Przerzutnik RS i SR Przerzutnik typu D Przerzutnik J-K (JK-MS) Przerzutnik T 2.3 Liczniki 2.5 Rejestry 2.6 Hazard i wyścigi 2.7 Zagadnienia kontrolne i literatura Jakub Kaźmierczak W układach cyfrowych do realizacji bloku pamięci używane są przerzutniki. Są to elementy (podobnie jak bramki) mające na swoim wyjściu jeden z możliwych dwóch stanów stabilnych 0 lub 1 (odpowiadające stanom logicznym H i L). Przerzutnik zachowuje jednak swój stan wyjścia po zaniku przyczyny, która ten stan zainicjowała. Kolejna zmiana jego stanu wyjść jest możliwa dopiero, gdy wystąpi kolejna przyczyna. Układ sekwencyjny może być opisany za pomocą dwóch funkcji: 1. Y = f(x,a) wyjście zależy od stanu wewnętrznego i wejść 2. Y = f(a) wyjście zależy wyłącznie od stanu wewnętrznego gdzie A to stan wewnętrzny, X i Y są odpowiednio sygnałem wejściowym (We) i wyjściowym (Wy). Pierwsza funkcja dotyczy tzw. automatu Mealy'ego, druga automatu Moore'a - oba automaty są sobie równoważne. Zachowanie układu sekwencyjnego może być opisane następująco: słownie; za pomocą przebiegów czasowych - pokazujący zależności czasowe pomiędzy X i Y; za pomocą grafów przejść (ich wygląd zależy od rozpatrywanego automatu); za pomocą tablic przejść (najbardziej przejrzysty zapis, ponieważ określa, jaki będzie kolejny stan przerzutnika w zależności od aktualnego stanu przerzutnika i od aktualnego stanu jego wejść). Wśród układów sekwencyjnych (podobnie jak we wszystkich układach logicznych z pamięcią) wyróżniamy układy synchroniczne i asynchroniczne. W układach synchronicznych występuje pewien (co najmniej jeden) wyróżniony sygnał zwany przebiegiem zegarowym, taktującym lub synchronizującym. Przebieg ten wyznacza cykl pracy układu, a jego okres stanowi umowną jednostkę czasu. Sygnał zegarowy określa chwile, w których stany wejść oddziałują na układ. Chwile te są wyznaczane przez zbocze dodatnie bądź ujemne przebiegu taktującego, dlatego mówimy o synchronizacji układu zboczem narastającym lub opadającym. W chwilach tych stan innych wejść nie 2

2 powinien się zmieniać. Odcinek czasu pomiędzy dwoma kolejnymi zboczami aktywnymi sygnału zegarowego jest nazywany taktem. W układach asynchronicznych każda zmiana stanu wejść układu oddziałuje na układ, powodując jego reakcję. Większość przerzutników to przerzutniki synchroniczne. Wyjątek stanowi najprostszy przerzutnik nazywany asynchronicznym przerzutnikiem RS. 2.2 Przerzutniki Przerzutniki (z ang. flip-flop), oprócz bramek logicznych, są podstawową grupą elementów stosowanych w technice cyfrowej. Wykorzystuje się je do przechowywania małych ilości danych, do których musi być zapewniony ciągły dostęp. Jest to spowodowane fizycznymi i funkcjonalnymi cechami przerzutników. Są one większe od pojedynczej komórki pamięci, ale pozwalają pozostałym częściom układu na bezpośredni dostęp do przechowywanych danych. Pierwszy elektroniczny przerzutnik typu flip-flop wynaleziony został w roku 1919 przez dwóch naukowców - Williama Ecclesa i F.W. Jordana. Początkowo nazywano go układem przełączającym Ecclesa-Jordana. Układ ten zbudowany był z dwóch aktywnych elementów elektronicznych - lamp elektronowych (pierwsze tranzystory powstały dopiero w 1949 roku). Angielska nazwa flip-flop powstała później jako efekt naśladowania dźwięku wydawanego przez głośniki podłączone do wzmacniacza akustycznego sterowanego przez wymieniony przerzutnik. Przerzutnikami są układami o skończonej ilości wejść i wyjść. W schematach układów elektronicznych stosuje się symbol przerzutnika pokazany na rys. 1a. Przerzutnik ma dwa wyjścia komplementarne Q i ~Q (będące negacją wyjścia Q, a ponieważ zawsze występuje, stąd czasem jest pomijane na schematach) oraz pięć wejść: - dwa wejścia informacyjne synchroniczne A i B (oznaczane też jako D 1, D 2... ang. Data), - dwa wejścia informacyjne asynchroniczne (programująco-ustawiające) s i r oraz wejście zegarowe C (ang. Clock). natomiast przerzutnik sterowany poziomem niskim 0 ma wejście zegarowe poprzedzone kółeczkiem (symbolem negacji). Rys. 2 Metody oznaczania sposobu wyzwalania Wejścia zegarowe przerzutników sterowanych zboczem oznacza się małym trójkącikiem sterowane zboczem narastającym, lub trójkącikiem z symbolem negacji (kółeczkiem) sterowane zboczem opadającym Przerzutnik RS i SR Asynchroniczny przerzutnik RS (od ang. RS flip flop lub SR flip flop czyli Set-Reset tzn. Ustaw- Zeruj) jest najprostszym rodzajem przerzutnika, który można zbudować z dwóch dwu wejściowych bramek NOR lub NAND. Przerzutnik powstaje dzięki sprzężeniu zwrotnemu (ang. feed back) wyjść z wejściami. Sprzężenie zwrotne powoduje, iż przerzutnik utrzymuje ostatni stan wyjść Q n-1 po przejściu stanów logicznych na wejściach w stan neutralny. Przerzutnik ten ma dwa wejścia informacyjne/programujące R i S oraz dwa wyjścia Q i ~Q. Wejścia R i S są wejściami asynchronicznymi tzn. ich stany natychmiast oddziaływają na stany wyjść. Na rys 3a przedstawiono schemat przerzutnika asynchronicznego RS zbudowanego z bramek NOR. Rys. 1a Symbole przerzutnika. Sposób oddziaływania zmiennych A i B na wartości zmiennych Q i ~Q (oznaczany także jako Q* lub ) jest różny i decyduje on o nazwie typu przerzutnika. Zmienne s i r, zaznaczone na rys. 2, oddziałują na wartości zmiennych Q i ~Q. Zmienna s zwana jest zmienną wpisującą (ang. set), czasem ustawiającą (ang. preset). Zmienna r zwana jest zmienną zerującą (ang. reset lub clear). Kółko na wejściu, podobnie jak w przypadku bramek, oznacza inwersję sygnału (~s,~r) lub aktywny niski poziom logiczny zmiennej. Na wejście oznaczone literą C (CP, CL, CLK, T) wprowadza się impuls taktujący (synchronizujący), wejście zegarowe. Często część wejść przerzutnika nie jest używana, korzysta się na przykład tylko z wejść synchronicznych lub asynchronicznych. Może być również taka sytuacja, że wykorzystywane są wejścia synchroniczne i jedno z wejść asynchronicznych (np. w licznikach). Gdy o wartości zmiennych wyjściowych przerzutnika decydują tylko wejścia asynchroniczne, mówimy wtedy o przerzutniku asynchronicznym. Gdy stan przerzutnika ulega zmianie pod wpływem impulsu taktującego, mamy do czynienia z przerzutnikiem synchronicznym. Wszystkie, z wyjątkiem przerzutnika typu D, mogą występować w wersji asynchronicznej (wtedy są oznaczane małymi literami, np. rs, t) oraz w wersji synchronicznej (oznaczane wielkimi literami). Istnieje kilka różnych typów przerzutników: asynchroniczne (RS) i synchroniczne (RS, D latch, D flip-flop, T, JK ) Wspomniane wcześniej wejście zegarowe posiada większość przerzutników (za wyjątkiem przerzutnika prostego RS). Rodzaj sterowania tym wejściem (zależy od konstrukcji przerzutnika) jest oznaczony na symbolu przerzutnika (rys. 2). Przerzutniki mogą być sterowane poziomem lub zboczem. Wejście bez oznaczeń wskazuje na przerzutnik sterowany poziomem wysokim 1, Rys. 3 Asynchroniczny przerzutnik RS zbudowany z bramek NOR: a) schemat logiczny; b) symbol graficzny; c) tablica stanów; d) uproszczona tablica stanów Na rysunku 3e i 3f przedstawiono kilka kolejnych stanów przerzutnika. e) f) Rys. 3 Zasada działania RS e) kolejne stany przerzutnika f) przebiegi czasowe Podanie stanu 1 na jedno z wejść informacyjnych (programujących) powoduje ustawienie na wyjściu odpowiadającej mu bramki stanu 0 - suma dwóch sygnałów, z których co najmniej jeden jest równy 1 wynosi 1 a po zanegowaniu daje 0. Podanie stanu 1 na obydwa wejścia przerzutnika spowodowałoby wystąpienie stanów 0 na obydwu wyjściach, co jest niezgodne z założeniem, że w przerzutniku jedno wyjście jest negacją drugiego. Stan ten jest nazywany stanem niedozwolonym N. Podanie stanu 0 na obydwa wejścia daje możliwość określenia stanu wyjść w chwili n-tej wyłącznie na podstawie stanu wyjść w chwili n-1. Jest to stan w którym przerzutnik realizuje funkcję pamiętania sygnału poprzedniego. Na rysunkach 3b, 3c i 3d zamieszczono odpowiednio symbol graficzny asynchronicznego przerzutnika RS jego tablicę prawdy oraz jej wersję uproszczoną. Symbol X oznacza dowolny sygnał

3 W przerzutniku SR zbudowanym z bramek NAND wejścia ~S i ~R są aktywne przy stanie 0. Stan 1 jest dla nich stanem neutralnym. Jeśli wejście ~S przejdzie w stan 0, to wymusi ono stan 1 na wyjściu Q. Przejście wejścia ~R w stan 0 wymusi stan 0 na wyjściu Q. Powrót wejść ~S i ~R do stanu neutralnego nie zmienia stanu logicznego wyjścia Q - przerzutnik zapamiętuje ustawiony stan logiczny. Jeśli oba wejścia ~S i ~R znajdą się w stanie niskim 0, będziemy mieli do czynienia ze stanem zabronionym - oba wyjścia Q i ~Q znajdą się w stanie wysokim 1. Powrót jednego z wejść ~S lub ~R do stanu neutralnego 1 wymusi odpowiedni stan przerzutnika. Problem jednakże pojawi się, jeśli oba wejścia ~S i ~R jednocześnie przejdą ze stanu 0 do stanu 1. W takim przypadku stan przerzutnika będzie zależał od wewnętrznych hazardów i wynik jest nieokreślony, tzn. na wyjściu Q może pojawić się zarówno stan 0 jak i stan 1 - nie da się przewidzieć, który z tych stanów ustali się w przerzutniku. Na rys. 4 przedstawiono schemat logiczny przerzutnika RS zbudowanego z bramek NAND, jego symbole oraz uproszczona tablice prawdy. a) b) c) d) ~S ~R Q n ~Q 1 1 Q n-1 ~Q n N N Rys. 4 Asynchroniczny przerzutnik RS zbudowany z bramek NAND: schemat logiczny, symbole graficzne, przebiegi czasowe i tablica stanów Przerzutnik typu D Przerzutnik D jest rozszerzoną wersją przerzutnika RS. Występuje w nim tylko jedno wejście ustawiające (D) oraz wejście taktujące (C). Oprócz synchronicznego wejścia typu D przerzutnik posiada często również asynchroniczne wejścia typu s (Set) i r (Reset). Służą one do ustawiania wartości początkowej (s) i zerowania przerzutnika (r). Wejścia asynchroniczne mają większy priorytet od wejścia synchronicznego i działają nawet wtedy, gdy na wejście zegarowe nie jest podawany odpowiedni stan. Przerzutnik D spełnia funkcję przepisywania informacji z wejścia D na wyjście Q z opóźnieniem jednego impulsu taktującego, stąd też pochodzi jego nazwa (ang. Delay). W przerzutniku RS z wejściem taktującym może wystąpić taka kombinacja sygnałów (C=R=S=1), przy której stan wyjść jest zabroniony lub nieokreślony. Zostało to wyeliminowane w standardowym przerzutniku D (posiadającym jedynie wejścia D i C), dzięki zastosowaniu inwertera przed jedną z bramek wejściowych (inwerter może być zastąpiony poprzez odpowiednią konfigurację bramek). Ponadto przerzutnik D może być tak wykonywany, że tylko zbocze narastające powoduje zmianę sygnału na wyjściu przerzutnika. Unika się dzięki temu ewentualnych zakłóceń, związanych ze zmianą stanu wejścia D podczas trwania impulsu zegarowego. Zmiana stanu na wejściu D zmienia jednocześnie stan obu wejść S i R. Przy D = 1 uzyskuje się S = 1 i R = 0; przy D = 0 uzyskuje się S = 0 i R = 1. Impuls synchronizujący ustawia wyjścia przerzutnika zgodnie z zasadami zmiany stanu przerzutnika RS. Przy D = 1 ustawiane jest Q = 1, przy D = 0 ustawiane jest Q = 0. Po zakończeniu aktywnego zbocza impulsu synchronizującego przerzutnik przechodzi w stan pamiętania i przestaje reagować na zmiany wejścia D. Przerzutnik o takich właściwościach nazywany jest przerzutnikiem zatrzaskowym (ang. latch), gdyż zatrzaskuje informacje przy zamykaniu bramek, doprowadzających sygnały wejściowe. Na rys. 6 przedstawiono schemat logiczny przerzutnika typu D latch, jego symbol, tablice stanów oraz przebiegi czasowe. a) Przerzutnik RS występuje także w wersji synchronicznej, która różni się w swojej budowie od przerzutnika asynchronicznego dodatkowymi dwoma bramkami dołączonymi na wejścia układu. Przez doprowadzanie sygnałów do wejść R i S można dowolnie ustawiać stany wyjść, ale tylko w tych momentach czasowych, w których na wejściu C panuje stan wysoki. Dzięki takiemu rozwiązaniu w większych systemach cyfrowych możliwe jest wcześniejsze przygotowanie odpowiednich sygnałów sterujących na wejściach poszczególnych stopni układu, a ustawienie sygnałów na wyjściach następuje po pojawieniu się sygnału taktującego - równocześnie na wszystkich przerzutnikach. Wejścia R i S nazywa się wejściami przygotowującymi. Schemat przerzutnika, symbol oraz przebiegi czasowe przedstawiono na rys. 5. Tablice stanów są analogiczne jak dla przerzutnika asynchronicznego.. Na rys. 5c podkreślono stany stabilne, tzn. nie powodujące zmiany stanu wyjść. Praca synchroniczna nie rozwiązuje jednak problemu stanów zabronionych (kombinacja dwóch jedynek na wejściach R i S). c) Rys. 6 Przerzutnik D typu latch: schematy logiczne modyfikacji RS z bramek NAND (z inwerterem i bez), schemat logiczny z symbolem RS, symbol D, tablica stanów i przebiegi czasowe Rys. 5 Synchroniczny przerzutnik RS: schemat logiczny, symbol i przebiegi czasowe Rysunek 5a przedstawia schemat przerzutnika synchronicznego RS zbudowanego z bramek NOR. Na rysunkach 5b zamieszczono odpowiednio symbol graficzny synchronicznego przerzutnika RS, a na 5c przebiegi czasowe. W praktyce do budowy tego typu przerzutników wykorzystuje się bramki z układów serii TTL 7400 i Przerzutnik typu latch (zatrzask) jest jedną z wersji przerzutnika D wyzwalanego nie zboczem, lecz poziomem. W celu wyzwalania zboczem wymagany jest układ przerzutnika łączącego dwa przerzutniki D Latch wg schematu Master-Slave (ang. master slave D flip-flop) - rys. 7. Przerzutnik taki zapamiętuje stan wejścia D tylko przy odpowiedniej zmianie poziomu logicznego na wejściu zegarowym C. Pierwszy przerzutnik D (zwany Master) steruje drugim przerzutnikiem D (zwanym Slave), który wyzwalany jest zanegowanym sygnałem zegara z pierwszego przerzutnika. Dzięki temu w danym momencie zawsze aktywny jest tylko jeden z przerzutników. 5 6

4 Rys. 7 Przerzutnik D wyzwalany zboczem impulsu typu Master-Slave Na rys. 8 porównano w dziedzinie czasu pracę przerzutnika D typu latch (wyzwalanego poziomem) i przerzutnika typu flip-flop (master-slave wyzwalanego zboczem). Rys. 9 Schemat przerzutnika (z wykorzystaniem bramek NAND), symbol, tablica przejść oraz przebiegi czasowe przerzutnika JK Należy jednak zaznaczyć, że wyżej przedstawiony układ w takiej postaci nie może być praktycznie zbudowany, gdyż przy wyzwalaniu potencjałowym (szerokimi impulsami), wskutek jednoczesnego doprowadzenia sygnałów l na oba wejścia w układzie wystąpiłaby generacja. Z tego względu scalone przerzutniki JK są wykonywane w tzw. systemie "Master-Slave" (JK-MS), to jest złożonym systemie wyzwalania potencjałowego na zboczach impulsu taktującego. Przerzutnik taki, zwany też dwutaktowym lub dwuzboczowym (bo do ustawienia stanu przerzutnika są wymagane dwa kolejne zbocza impulsu zegarowego tzn. cały pojedynczy impuls prostokątny) działa w ten sposób, że w czasie pierwszego zbocza (narastającego) są próbkowane stany wejść J i K, drugie zbocze (opadające) powoduje zgodną z tablicą przejść zmianę stanu przerzutnika. W rezultacie zmianę stanu obserwujemy przy opadającym zboczu impulsu zegarowego. Jak przedstawiono na rys. 10, przerzutnik dwutaktowy JK składa się z dwu przerzutników połączonych kaskadowo. Rys. 8 Przebiegi czasowe przerzutnika D latch i D wyzwalanego zboczem impulsu typu Master-Slave (strzałki wskazują moment zbocza narastającego) Przerzutnik J-K (JK-MS) Przerzutnik JK (ang. J-K flip flop) może być uważany za rozwiniętą wersję przerzutników RS, gdyż mając podobne właściwości logiczne nie ma stanów wejściowych niedozwolonych. Jest zatem możliwe jednoczesne doprowadzenie do obu wejść zarówno sygnałów 1, jak i sygnałów 0. Jednocześnie jest też elementem bardziej uniwersalnym niż przerzutnik D, gdyż posiada dwa wejścia informacyjne J i K (litery te wybrano arbitralnie jako dwie kolejne litery alfabetu), na których dozwolone są wszystkie kombinacje sygnałów. Wejścia te pozwalają na oddziaływanie na stan wyjść przerzutnika, wejście J odpowiada wejściu S (Set) i służy do ustawienia przerzutnika, natomiast wejście K służy do kasowania przerzutnika (równoznaczne z wejściem R - Reset). Ustawianie i kasowanie przerzutnika odbywa się w chwili, gdy na wejściu zegarowym pojawi się opadające zbocze sygnału. Niektóre rozbudowane wersje tego przerzutnika posiadają dodatkowo dwa asynchroniczne wejścia PRESET (ustawia Q na 1) oraz CLEAR (ustawia Q na 0). Wejście J = 1 ustawia przerzutnik w stan 1, a wejście K = 1 ustawia przerzutnik w stan 0. Dla stanu J = 0 i K = 0 przerzutnik ten pamięta stan poprzedni. Podanie stanu J = 1 i K = 1 sprawia, przerzutnik zmienia swój stan na przeciwny w stosunku do poprzedniego. Wszystkie zmiany wyjść zachodzą w obecności aktywnego zbocza impulsu zegarowego podanego na wejście C (dla oznaczenia na rys. jest to zbocze opadające). Schemat przerzutnika (z wykorzystaniem bramek NAND), symbol, tablice przejść oraz przebiegi czasowe przedstawiono na rys. 9. Rys. 10 Schemat blokowy i ideowy przerzutnika JK-MS Przerzutnik T Jeżeli połączy się wejścia J i K przerzutnika JK-MS razem w jedno wejście, to powstanie przerzutnik T mający wejście informacyjne T oraz taktujące C. Jeżeli na wejściu T jest przygotowany stan 1, to po każdym impulsie taktującym stan przerzutnika zmienia się na przeciwny. W takim układzie przerzutnik T pracuje jako dzielnik częstotliwości przez 2. Przy T=0 przerzutnik nie zmienia swego stanu - występuje blokada stanów wyjściowych. Symbol graficzny, tabelę stanów oraz przebiegi czasowe przerzutnika T aktywnego zboczem narastającym przedstawiono na rys Liczniki Rys. 11 Symbol graficzny, tabelę stanów oraz przebiegi czasowe przerzutnika T Liczniki są, obok rejestrów, typowymi układami funkcjonalnymi stosowanymi powszechnie w różnego rodzaju układach cyfrowych i służą do zliczania impulsów i pamiętania ich liczby. Na rys. 12 przedstawiono ogólny schemat blokowy licznika impulsów. Impulsy zliczane podawane są na wejście zliczające licznika. Oprócz wejścia dla impulsów zliczanych, licznik ma zazwyczaj ustawiające jego stan początkowy. Ustawianie wszystkich przerzutników wchodzących w 7 8

5 skład licznika, niezależnie od ich aktualnych stanów, w stan 0 nazywa się zerowaniem. Stan licznika (jego zawartość) określony jest poprzez poziomy sygnałów poszczególnych jego stopni. Rys. 12 Schemat blokowy licznika Podstawowym elementem licznika jest przerzutnik z wejściem zegarowym (bez wejść programujących), który dzieli przez 2 częstotliwość impulsów podawanych na to wejście. W praktyce można otrzymać taki dzielnik z przerzutników typu T (przedstawiono w pkt ), D lub JK, a układy takie nazywa się także dwójkami liczącymi. Układy te przy każdym impulsie zegarowym zmieniają swój stan na przeciwny. Na rys. 13 przedstawiono model takiej dwójki liczącej z wykorzystaniem przerzutnika typu D. Wejście pierwszej dwójki liczącej jest wejściem licznika, wyjścia obu dwójek ("WY0" i "WY1") stanowią wyjście licznika. Zawartość licznika - jakaś konkretna liczba, będąca wynikiem zliczania impulsów wejściowych - jest reprezentowana (w postaci binarnej) przez stany wyjść poszczególnych dwójek liczących. U dołu rys. 15b, nad linią wskazującą kierunek czasu, cyframi od 0 do 3 została oznaczona zmieniająca się w czasie zawartość licznika: 0, 1, 2, 3 i znów 0, 1. W analogiczny sposób można zbudować licznik modulo 8 i modulo 16. Tak budowane liczniki nazywane są licznikami asynchronicznymi, gdyż zmiana stanu danej dwójki liczącej zachodzi jako konsekwencja uprzedniej zmiany stanu na wyjściu poprzedniej dwójki. Natomiast licznik, w którym impulsy zliczane podawane są na wejścia zegarowe wszystkich jego przerzutników nazywa się licznikiem synchronicznym. Liczniki takie są szybsze, charakteryzują się mniejszymi opóźnieniami i większą częstotliwością pracy, a przede wszystkim nie występuje zjawisko opóźnień (i fałszywych przeskoków) wynikających z różnych czasów propagacji sygnału przez przerzutniki licznika. Aby ułatwić budowę liczników pracujących w układach dziesiętnych oraz odmierzających czas (potrzebne tu np. liczenie "do 6"), zostały zaprojektowane specjalne podzespoły w postaci układów scalonych, liczących mod. 2 i mod. 5 (UCY 7490), mod. 2 i mod. 6 (UCY 7492) oraz mod. 2 i mod. 8 (UCY 7493). Jednym z popularniejszych scalonych liczników jest UCY 7493 (rys. 16). C Q A Q B Q C Rys. 13 Dwójka licząca na przerzutniku D oraz wykres czasowy Przerzutnik D zmienia stan na przeciwny po każdym impulsie zegara, ponieważ do wejścia D przerzutnika jest doprowadzany sygnał z jego własnego wyjścia ~Q. Wobec tego w momencie nadejścia impulsu zegara przerzutnik D widzi na wejściu negację swojego własnego stanu. W każdym przypadku częstotliwość przebiegu wyjściowego jest dwukrotnie mniejsza od częstotliwości przebiegu wejściowego. Również na bazie przerzutnika JK można zbudować dwójkę liczącą i to na kilka sposobów (rys. 14). Q D Rys.16 Blokowa budowa licznika UCY7493 oraz przebiegi czasowe na jego wyjściach Układ scalony 7493 zawiera cztery przerzutniki JK - MS. Trzy przerzutniki (B, C, D) są połączone szeregowo, tworząc licznik mod 8, a czwarty przerzutnik (A) jest dwójką liczącą, która może być wykorzystana dwojako: wspólnie z licznikiem mod 8 tworzy licznik mod 16, albo samodzielnie jest licznikiem mod 2. Łącząc wyjście Q A pierwszego przerzutnika z wejściem licznika 3 - bitowego (Bwe), uzyskujemy licznik mod 16, zliczający w kodzie naturalnym dwójkowym, przy czym wyjściami licznika są wyprowadzenia Q A, Q B, Q C, Q D. Wszystkie przerzutniki w tym liczniku pracują w układzie dwójek liczących i kolejność ich połączeń nie ma znaczenia. Zerowanie licznika 7493 odbywa się przez podanie na wejścia R 01 i R 02 stanu wysokiego. Poprzez odpowiednie połączenie pomiędzy poszczególnymi wyjściami i wejściami układu scalonego można uzyskać licznik o dowolnym modulo (rys. 17) Rys. 14 Przykłady przekształcenia przerzutnika JK w dwójkę liczącą Gdy wyjście jednej dwójki liczącej połączymy z wejściem drugiej, otrzymamy licznik liczący do "czterech" (modulo 4). Na rys. 15 mamy schemat oraz przebiegi stanów logicznych na wejściu i wyjściu takiego licznika. Rys.15 Schemat oraz przebiegi stanów logicznych licznika modulo 4 Rys.17 Szereg możliwości uzyskania liczników o różnym modulo z układu UCY

6 2.5 Rejestry Rejestrem nazywamy układ cyfrowy służący do przechowywania (pamiętania) informacji. Składa się z przerzutników i bramek powodujących zmiany stanu tych przerzutników. Przerzutniki przechowują informację dwójkową, podczas gdy bramki tworzą układ kombinacyjny wprowadzania i wyprowadzania informacji z rejestru. Ze względu na rodzaj działania, rejestry dzielą się na pamiętające, przesuwające i liczące. Rejestr pamiętający służy tylko do pamiętania określonej liczby bitów informacji. Rejestr przesuwający jest to zespół przerzutników połączonych w ten sposób, że informacja z każdego przerzutnika może być przesłana do sąsiedniego przerzutnika. Rejestr przesuwający jest układem synchronicznym. Schemat blokowy rejestru przesuwającego przedstawia rys Hazard i wyścigi W układach sekwencyjnych (wykorzystujących sprzężenie zwrotne) może dochodzić czasami do niekorzystnych zjawisk zwanych hazardem oraz wyścigów, których to podłożem jest niezerowy czas propagacji sygnałów. Hazardem (czasem nazywane też ryzykiem) określane jest zjawisko krótkotrwałej zmiany wartości sygnału wyjściowego (błędne stany wyjścia), wywołanej różnicą opóźnień wnoszonych przez bramki logiczne. Krótkotrwałe zmiany mogą być podtrzymywane w wyniku istnienia sprzężenia zwrotnego i wówczas działanie układu sekwencyjnego będzie nieprawidłowe. Przyczyną są różnice w czasie dotarcia oraz wartości sygnału do określonego miejsca układu w zależności od drogi jaką pokonują (rys. 21). Rys.18 Schemat blokowy rejestru przesuwającego Rejestr liczący jest to układ złożony z rejestru przesuwającego oraz obwodu sprzężenia zwrotnego generującego sygnał podawany na wejście szeregowe rejestru. Sygnał ten jest funkcją sygnałów wejściowych rejestru przesuwającego. Schemat blokowy rejestru liczącego przedstawia rys. 19. Rys. 21 Graficzne zobrazowanie zjawiska hazardu Wyścigi to zjawisko docierania do określonego elementu układy (przerzutnika) co najmniej dwóch sygnałów wejściowych w innej kolejności niż zostało to zaprojektowane. Szybsze docieranie jednego z sygnałów przed innym sygnałem (który miał dłuższą drogę) również wynika z niezerowych czasów propagacji. 2.7 Zagadnienia kontrolne Rys.19 Schemat blokowy rejestru liczącego W zależności od typu rejestru informacja może być zapisywana w sposób szeregowy (bit po bicie w takt sygnału zapisującego) lub równoległy (całe słowo wejściowe jest zapisywane w chwili wyznaczonej przez sygnał zapisujący). Również odczyt zapisanej informacji może się odbywać w sposób szeregowy bądź równoległy. Schemat funkcjonalny rejestru przedstawiono na rys. 20. Rys. 20 Schemat funkcjonalny rejestru Sygnały wejściowe A, B, C i D podają informację do zapamiętania w rejestrze. Wejście CLK jest wejściem zapisującym informację z wejść A...D do rejestru. W zależności od typu zastosowanych przerzutników zapis może następować przy zmianie poziomu logicznego na wejściu CLK z 0 na 1 (zbocze dodatnie) lub z 1 na 0 (zbocze ujemne). Informacja przechowywane w rejestrze pojawia się na wyjściach QA, QB, QC i QD. Stan niski na wejściu CLR powoduje wyzerowanie wszystkich wyjść QA...QD rejestru. 1. Definicja układu sekwencyjnego i jego blokowa budowa. 2. Podział i rodzaje układów sekwencyjnych. Podać różnice w działaniu układów sekwencyjnych asynchronicznych i synchronicznych. 3. Metody opisu działania układów sekwencyjnych. 4. Definicja przerzutnika i opis jego blokowej budowy. 5. Typy wejść przerzutników i ich priorytety. 6. Wymienić rodzaje przerzutników. 7. Budowa, zasada działania i tablica przejść przerzutnika RS. 8. Budowa, zasada działania, tablica przejść i typy przerzutnika D. 9. Budowa, zasada działania i tablica przejść przerzutnika T. 10. Budowa, zasada działania, tablica przejść i typy przerzutnika JK. 11. Definicja i podział liczników. 12. Metody konstrukcji dwójki liczącej przy wykorzystaniu różnych przerzutników. 13. Różnice pomiędzy licznikiem asynchronicznym a synchronicznym. 14. Budowa i zasada działania licznika modulo 4, 8 i Blokowa budowa licznika UCY Definicja, budowa i rodzaje rejestrów. 17. Zjawisko hazardu i wyścigów. 2.8 Literatura 1. J. Kalisz Podstawy elektroniki cyfrowej WKŁ 2. B. Wilkinson Układy cyfrowe WKŁ 3. W. Sasal Układy scalone WKŁ 4. A. Skorupski Podstawy techniki cyfrowej WKŁ 5. W. Głocki Układy cyfrowe WSIP 11 12

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

Proste układy sekwencyjne

Proste układy sekwencyjne Proste układy sekwencyjne Układy sekwencyjne to takie w których niektóre wejścia są sterowany przez wyjściaukładu( zawierają sprzężenie zwrotne ). Układy sekwencyjne muszą zawierać elementy pamiętające

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI

LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI LABORATORIUM PODSTAWY ELETRONII PRZERZUTNII el ćwiczenia Zapoznanie się z budową i zasada działania przerzutników synchronicznych jak i asynchronicznych. Poznanie przerzutników asynchronicznych odniesione

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel Ćwiczenie 6 Przerzutniki bistabilne (Flip-Flop) Cel Poznanie zasady działania i charakterystycznych właściwości różnych typów przerzutników bistabilnych. Wstęp teoretyczny. Przerzutniki Flip-flop (FF),

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI MIKROPROCESOROWEJ 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Układy cyfrowe (logiczne)

Układy cyfrowe (logiczne) Układy cyfrowe (logiczne) 1.1. Przerzutniki I Przerzutnik to najprostszy (elementarny) cyfrowy układ sekwencyjny, który w zaleŝności od sekwencji zmian sygnałów wejściowych przyjmować moŝe i utrzymywać

Bardziej szczegółowo

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne Ćwiczenie nr 4: Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Ćwiczenie 27C Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Cel ćwiczenia Poznanie budowy i zasad działania oraz właściwości układów synchronicznych, aby zapewnić podstawy

Bardziej szczegółowo

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1. Ćwiczenie 8 Liczniki zliczające, kody BCD, 8421, 2421 Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i 2421. Wstęp teoretyczny. Przerzutniki

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

PODSTAWY TEORII UKŁADÓW CYFROWYCH

PODSTAWY TEORII UKŁADÓW CYFROWYCH PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY KODUJĄCE Kodery Kodery Kodery służą do przedstawienia informacji z tylko jednego aktywnego wejścia na postać binarną. Ponieważ istnieje fizyczna możliwość jednoczesnej

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY Cel ćwiczenia Zapoznanie się z budową i zasadą działania rejestrów cyfrowych wykonanych w ramach TTL. Zestawienie przyrządów i połączenie rejestru by otrzymać

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Laboratorium Techniki Cyfrowej i Mikroprocesorowej Ćwiczenie IV Opracowano na podstawie

Bardziej szczegółowo

WSTĘP DO ELEKTRONIKI

WSTĘP DO ELEKTRONIKI WSTĘP DO ELEKTRONIKI Część VII Układy cyfrowe Janusz Brzychczyk IF UJ Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane

Bardziej szczegółowo

Projektowanie i badanie liczników synchronicznych i asynchronicznych

Projektowanie i badanie liczników synchronicznych i asynchronicznych Laboratorium Podstaw Techniki Cyfrowej dr Marek Siłuszyk mgr Arkadiusz Wysokiński Ćwiczenie 08 PTC Projektowanie i badanie liczników synchronicznych i asynchronicznych opr. tech. Mirosław Maś Uniwersytet

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Układy kombinacyjne - przypomnienie

Układy kombinacyjne - przypomnienie SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy kombinacyjne - przypomnienie W układzie kombinacyjnym wyjście zależy tylko od wejść, SWB - Układy sekwencyjne - wiadomości podstawowe

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1.

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1. Przerzutniki Układy sekwencyjne przerzutniki 2/18 Pojęcie przerzutnika Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1... x n ), 1-bitową pamięć oraz 1 wyjście

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 6 BADANIE UKŁADÓW SEKWENCYJNYCH A. Cel ćwiczenia. - Poznanie przeznaczenia i zasady działania przerzutnika

Bardziej szczegółowo

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO Ćwiczenie 11 BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO 11.1 Cel ćwiczenia Celem ćwiczenia jest poznanie rodzajów, budowy i właściwości przerzutników astabilnych, monostabilnych oraz

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Logiczne układy bistabilne przerzutniki.

Logiczne układy bistabilne przerzutniki. Przerzutniki spełniają rolę elementów pamięciowych: -przy pewnej kombinacji stanów na pewnych wejściach, niezależnie od stanów innych wejść, stany wyjściowe oraz nie ulegają zmianie; -przy innej określonej

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW e-version: dr inż. Tomasz apłon INTYTUT YBENETYI TEHNIZNE PLITEHNII WŁAWIE ZAŁA ZTUZNE INTELIGENI I AUTMATÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 23 temat: UŁAY EWENYNE. EL ĆWIZENIA

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi.

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi. 72 WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. ą najprostszymi układami pamięciowymi. PZEZUTNIK WY zapamietanie skasowanie Przerzutmik zapamiętuje zmianę

Bardziej szczegółowo

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1 Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5.

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Klasa III Opracuj projekt realizacji prac związanych z badaniem działania cyfrowych bloków arytmetycznych realizujących operacje

Bardziej szczegółowo

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia Opracował: mgr inż. Antoni terna ATEDA INFOMATYI TEHNIZNE Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 203 Temat: Układy sekwencyjne 1. el ćwiczenia elem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Badanie rejestrów LABORATORIUM TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA Badanie rejestrów Opracował: Tomasz Miłosławski Wymagania, znajomość zagadnień: 1. Typy, parametry, zasada działania i tablice stanów przerzutników

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM. AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI ELWIS Rev.1.0 1. Wprowadzenie Celem

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych.

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE Podstawowymi bramkami logicznymi są układy stanowiące: - funktor typu AND (funkcja

Bardziej szczegółowo

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych.

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych. 2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych. W przypadku funkcji niezupełnej wektory spoza dziedziny funkcji wykorzystujemy w procesie sklejania, ale nie uwzględniamy ich w tablicy

Bardziej szczegółowo

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem 2-3-29 Przerzutniki Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem (dotychczas mówiliśmy o układach logicznych kombinatorycznych - stan wyjść określony jednoznacznie przez

Bardziej szczegółowo

Układy sekwencyjne - wiadomości podstawowe - wykład 4

Układy sekwencyjne - wiadomości podstawowe - wykład 4 SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy sekwencyjne - wiadomości podstawowe - wykład 4 Adam Szmigielski aszmigie@pjwstk.edu.pl Laboratorium robotyki s09 SWB - Układy sekwencyjne

Bardziej szczegółowo

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2 WSTĘP O liczbie elementów użytych do budowy jakiegoś urządzenia elektronicznego, a więc i o możliwości obniżenia jego ceny, decyduje dzisiaj liczba zastosowanych w nim układów scalonych. Najstarszą rodziną

Bardziej szczegółowo

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232.

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Opracowanie: Andrzej Grodzki Do wysyłania znaków ASCII zastosujemy dostępny w

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

LICZNIKI. Liczniki asynchroniczne.

LICZNIKI. Liczniki asynchroniczne. LICZNIKI Liczniki asynchroniczne. Liczniki buduje się z przerzutników. Najprostszym licznikiem jest tzw. dwójka licząca. Łatwo ją otrzymać z przerzutnika D albo z przerzutnika JK. Na rys.1a został pokazany

Bardziej szczegółowo

6. SYNTEZA UKŁADÓW SEKWENCYJNYCH

6. SYNTEZA UKŁADÓW SEKWENCYJNYCH 6. SYNTEZA UKŁADÓW SEKWENCYJNYCH 6.1. CEL ĆWICZENIA Układy sekwencyjne są to układy cyfrowe, których stan jest funkcją nie tylko sygnałów wejściowych, ale również historii układu. Wynika z tego, że struktura

Bardziej szczegółowo

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55 Układy cyfrowe Funkcje logiczne AND A B X = A B... 2/55 Funkcje logiczne OR A B X = A + B NOT A A... 3/55 Twierdzenia algebry Boole a A + B = B + A A B = B A A + B + C = A + (B+C( B+C) ) = (A+B( A+B) )

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

Temat 5. Podstawowe bloki funkcjonalne

Temat 5. Podstawowe bloki funkcjonalne Temat 5. Podstawowe bloki funkcjonalne Spis treści do tematu 5 5.. Cyfrowe bloki komutacyjne 5.2. Przerzutniki 5.3. Liczniki 5.4. Rejestry 5.6. Układy arytmetyczne 5.7. Literatura fizyka.p.lodz.pl/pl/dla-studentow/tc/

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 2

Statyczne badanie przerzutników - ćwiczenie 2 Statyczne badanie przerzutników - ćwiczenie 2. Cel wiczenia Zapoznanie si z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NOR. 2. Wykaz

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW Wydział Fizyki UW Pracownia fizyczna i elektroniczna (w tym komputerowa) dla Inżynierii Nanostruktur (1100-1INZ27) oraz Energetyki i Chemii Jądrowej (1100-1ENFIZELEK2) Ćwiczenie 2 Przerzutniki Streszczenie

Bardziej szczegółowo

Układ elementarnej pamięci cyfrowej

Układ elementarnej pamięci cyfrowej Opis ćwiczenia Układ elementarnej pamięci cyfrowej Pod określeniem pamięć cyfrowa będziemy rozumieć układ, do którego moŝna wprowadzić i przez pewien czas w nim przechowywać ciąg liczb zero-jedynkowych.

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe. Instrukcja do zajęć laboratoryjnych. Część: Technika Cyfrowa Liczba zajęć: 3 + zaliczające

Elektronika i techniki mikroprocesorowe. Instrukcja do zajęć laboratoryjnych. Część: Technika Cyfrowa Liczba zajęć: 3 + zaliczające Przygotowali: J. Michalak, M. Zygmanowski, M. Jeleń Elektronika i techniki mikroprocesorowe Instrukcja do zajęć laboratoryjnych Część: Technika Cyfrowa Liczba zajęć: 3 + zaliczające Celem zajęć jest zapoznanie

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Układy logiczne sekwencyjne

Układy logiczne sekwencyjne Opracował: G. Wasilewski 26.XI.2007 Katedra Automatyki i Biomechaniki P.Ł. LABORATORIUM PODSTAW AUTOMATYKI Ćwiczenie G: Układy logiczne sekwencyjne Cel ćwiczenia: Zapoznanie się z zasadą działania przerzutników

Bardziej szczegółowo