Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C

Wielkość: px
Rozpocząć pokaz od strony:

Download "Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020"

Transkrypt

1 Politechnika iałostocka Wydział Elektryczny Katedra utomatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIK YFROW 2 TS Ćwiczenie Nr 7 LIZNIKI INRNE FUNKJE LIZNIK LPM_ounter Opracował: dr inż. Walenty Owieczko IŁYSTOK 2013

2 Spis treści instrukcji 1. el ćwiczenia Klasyfikacja i parametry liczników Licznik LPM_ounter 3 4. Projektowanie liczników o zadanej pojemności zynności przygotowawcze Przebieg ćwiczenia Sprawozdanie i forma zaliczenia ćwiczenia Literatura EL ĆWIZENI Poznanie podstawowych parametrów, sposobów projektowania oraz zastosowań liczników dwójkowych. Funkcje konfigurowalnego licznika LPM_ounter. 2. KLSYFIKJ I PRMETRY LIZNIKÓW Licznik - układ cyfrowy służący do zliczania i pamiętania liczby zmian impulsów na wejściu zliczającym. Licznik może posiadać wejście wstępnego ustawiania stanu (wejście zerujące bądź wejścia do wpisywania niezerowego stanu początkowego). Pojemność licznika (długość cyklu, okres licznika) - maksymalna liczba różnych stanów licznika. Pojemność licznika określa liczba przerzutników N, a jej wartość P 2 N. Ze względu na długość cyklu, liczniki mogą być: o stałej długości cyklu o zmiennej długości cyklu Liczniki zliczające w kodzie N zwie się dwójkowymi, zaś w kodzie D - licznikami dziesiętnymi (dekadami). Licznik, w którym kolejne stany odpowiadają rosnącym wartościom liczb w danym kodzie - licznik zliczający w przód (licznik zliczający w górę, licznik następnikowy). Przy odwrotnym kierunku zliczania - licznik zliczający wstecz (licznik zliczający w dół). Wśród scalonych liczników występują także liczniki o przełączanym kierunku zliczania (tzw. liczniki rewersyjne). Ze względu na sposób reagowania na impulsy wejściowe liczniki dzieli się na synchroniczne i asynchroniczne. Liczniki synchroniczne impulsy zliczane podaje się na odpowiednie wejście informacyjne przerzutników, taktowanych sygnałem zegarowym. Zmiany sygnału zegarowego wyznaczają chwile jednoczesnych przełączeń wszystkich przerzutników licznika. W licznikach asynchronicznych impulsy zliczane podaje się na wejście taktujące przerzutnika. 2

3 Używany w laboratorium system projektowy D umożliwia stosowanie w projektach oprócz liczników ze standardowej serii 74xx również liczników parametryzowanych z biblioteki LPM (Library of Parametrized Module). Układy z tej biblioteki są zoptymalizowane pod względem wykorzystania zasobów sprzętowych układu programowalnego oraz umożliwiają dopasowanie bloków funkcjonalnych do konkretnego projektu. W bibliotece LPM znajduje się uniwersalny, parametryzowany licznik LPM_ounter. lok ten może być wykorzystywany zarówno w projekcie graficznym jak i w projekcie tekstowym (VHDL, HDL). Licznik LPM_ounter jest rekomendowany przez firmę ltera do wykorzystywania we wszystkich projektach. 3. LIZNIK LPM_ounter lok LPM_ounter jest licznikiem dwukierunkowym, z możliwością synchronicznego i asynchronicznego ładowania, zerowania, ustawiania oraz z możliwością pracy w trybie licznika modulo n. Maksymalna liczba bitów tego licznika wynosi 64. Licznik LPM_ounter ma możliwość ustawienia pojemności na cztery różne sposoby. Porty sterujące działaniem licznika w sposób synchroniczny mają nazwy zaczynające się literą s, natomiast porty sterujące w sposób asynchroniczny mają nazwy zaczynające się literą a. Symbol licznika używany w trybie graficznym jest przedstawiony na rysunku 1. Rys Symbol licznika LPM_ounter Po dwukrotnym kliknięciu w symbol licznika, pokazuje się okno z jego modelem w języku HDL. by przejść do okna konfiguracji, należy dwukrotnie kliknąć pole parametrów (w prawym górnym rogu symbolu LPM_ounter). 3

4 Okno konfiguracji przedstawiono na rys. 2. Rys Okno konfiguracji bloku LPM_ounter Pole Ports umożliwia konfigurację wejść i wyjść licznika. Można włączyć ( used ) lub wyłączyć ( unused ) poszczególne wejścia lub wyjścia układu. Możliwa jest negacja poszczególnych sygnałów ( inversion ) lub ustawienie stałych poziomów ( pattern ). Pole Parameters służy do ustawiania poszczególnych parametrów licznika. Po wybraniu wskaźnikiem parametru z przewijanej listy, jego nazwa pojawia się w polu Parametr Name i wówczas pożądaną wartość wpisujemy w polu Parametr Value. Wpis zatwierdzamy przyciskiem hange. Przycisk Help on LPM_OUNTER otwiera okno z pomocą w którym jest opis wszystkich parametrów. Tabele 1 4 zawierają podstawowe parametry liczników LPM_ounter, rodzaje wejść, wyjść oraz opis podstawowych funkcji. Tabela 1: Porty wejściowe licznika LPM_ounter Port: Wymagany: Opis: Komentarz: data[] Równoległe wejście danych Port wejściowy o szerokości LPM_WIDTH. Wykorzystywany z aload lub sload. 4

5 clock Tak Wejście sygnału taktującego. ktywne zbocze narastające. clk_en Wejście lock Enable. Zezwolenie na działanie w trybie synchronicznym. Domyślnie = 1 (zezwolenie aktywne). cnt_en Wejście ount Enable. lokuje zliczanie gdy jest poziom niski (0) bez wpływu na sload, sset oraz sclr. Domyślnie = 1 (nie blokuje). updown Steruje kierunkiem zliczania. High (1) = zliczanie w górę. Low (0) = zliczanie w dół. Domyślnie (1) zliczanie w górę. Jeśli parametr LPM_DIRETION jest użyty, port updown nie może być podłączony. Jeśli LPM_DIRETION nie jest użyty, obecność portu updown jest opcjonalna. cin arry-in to the low-order bit. Default = 1 (V). aclr Wejście asynchronicznego zerowania. Domyślnie = 0 (nieaktywne). Jeśli zostaną uzyte i wystąpią jednocześnie sygnały aset i aclr, wówczas wyłącznie sygnał aclr jest znaczący. aset Wejście asynchronicznego ustawiania. Domyślnie = 0 (nieaktywne). Ustawia wyjścia q[] na jedynki lub na wartość podaną parametrem LPM_VLUE. Jeśli zostaną uzyte i wystąpią jednocześnie sygnały aset i aclr, wówczas wyłącznie sygnał aclr jest znaczący. aload Wejście asynchronicznego ładowania. synchronicznie ładuje do licznika wartość podaną na wejściu data[]. Domyślnie = 0 (nieaktywne). Jeśli port aload jest użyty, także port data[] musi być podłączony. sclr Synchroniczne zerowanie. Zeruje licznik przy pierwszym zboczu narastającym sygnału taktującego. Domyślnie = 0 (nieaktywny). Jeśli oba wejścia sset i sclr są użyte i pojawią się na nich równocześnie sygnały, wówczas zadziała tylko sclr. sset Synchroniczne ustawianie. Ustawia licznik przy pierwszym zboczu narastającym sygnału taktującego. Domyślnie = 0 (nieaktywny). Ustawia na wyjściu Q[] wszystkie jedynki lub wartość podaną jako parametr LPM_SVLUE. Jeśli oba wejścia sset i sclr są użyte i pojawią się na nich równocześnie sygnały, wówczas zadziała tylko sclr. 5

6 sload Wejście synchronicznego ładowania. Ładuje do licznika wartość podaną na wejściu data[] przy pierwszym zboczu narastającym sygnału taktującego. Domyślnie = 0 (nieaktywny). Jeśli jest podłączony sload, to port data[] także musi być podłączony. Tabela 2: Porty wyjściowe licznika LPM_ounter Port: Wymagany: Opis: Komentarz: q[] Równoległe wyjście licznika Port wyjściowy o szerokości LPM_WIDTH. Port q[] lub co najmniej jeden z bitów eq[15..0] musi być podłączony. eq[15..0] cout Wyjście dekodera w kodzie 1zn (tylko 16 kolejnych wartości na wyjściu licznika) Wyjście przeniesienia z bitu MS (najbardziej znaczącego) lub przepełnienia przy zliczaniu z parametrem Modulus Port q[] lub eq[] musi być podłączony. Maksymalnie c bitów portu eq może być użyte (0 <= c <= 15). Gdy stan licznika wynosi c to wyjście etc jest w stanie wysokim. Wyjścia eq[15..0] są ustawiane asynchronicznie w stosunku do wyjść q[]. Można łatwo uzyskać licznik modulo x gdzie x wstawiamy jako parametr Moduluj, natomiast każde przepełnienie takiego licznika jest sygnalizowane na wyjściu cout. Tabela 3: Parametry licznika LPM_ounter Parametr Typ Wymagany Opis LPM_WIDTH Integer Tak Liczba bitów licznika, lub inaczej szerokość magistrali wyjściowej q[] i wejściowej data[] LPM_DIRETION String LPM_MODULUS Integer Kierunek zliczania. Wartości dozwolone: "UP" (w górę), "DOWN" (w dół), "UNUSED" (parametr nieużywany). Jeśli parametr LPM_DIRETION jest użyty, port updown nie może być podłączony. Gdy port updown nie jest podłączony, domyślną wartością parametru LPM_DIRETION jest "UP" (w górę). Maksymalna wartość na wyjściu licznika plus 1 (inaczej licznik modulo nn, gdzie nn to q[]max+1 lub nn to ilość stanów licznika). Jeśli zostanie załadowana do licznika wartość większa od parametru LPM_MODULUS, zachowanie licznika 6

7 będzie przypadkowe. LPM_VLUE Integer/ String Stała wartość ładowana podczas gdy wejście aset jest w stanie wysokim. Jeśli ta wartość jest większa od wartości wyspecyfikowanej w LPM_Modulus, działanie licznika jest przypadkowe. Parametr LPM_VLUE może być maksymalnie 32 bitowy. LPM_SVLUE Integer/ String Stała wartość ładowana podczas narastającego zbocza sygnału taktującego gdy wejście sset lub sconst jest w stanie wysokim. Parametr musi być użyty gdy wejście sconst jest podłączone. LPM_HINT String Pozwala na specyfikacje parametrów specyficznych dla układów ltery w projekcie VHDL. Domyślna wartość: "UNUSED". LPM_TYPE String Określa entity name w projektach VHDL. RRY_NT_EN String LWIDE_SLR String Parametr specyficzny dla układów ltery. Dostępne wartości: "SMRT", "ON", "OFF", or "UNUSED". Pozwala na propagację sygnału cnt_en w łańcuchu carry chain. W niektórych przypadkach, parametr RRY_NT_EN może mieć mały wpływ na szybkość działania licznika, więc może być konieczne wyłączenie tej funkcji ( OFF ). Wartością domyślną jest "SMRT". To zapewnia kompromis pomiędzy szybkością i zajętością struktury. Parametr specyficzny dla układów ltery. Dostępne wartości: "ON", "OFF" lub "UNUSED". Wartością domyślną jest "ON". Pozwala na zablokowanie rzutującego na cały L sygnału sclr własność układów rodziny FLEX Wyłączenie tej opcji zwiększa szanse na pełne wykorzystanie częściowo wypełnienych obszarów L, co skutkuje większą gęstością upakowania. 7

8 Tabela 4: Funkcje licznika LPM_ounter 4. PROJEKTOWNIE LIZNIKÓW O ZDNEJ POJEMNOŚI Projektowanie liczników o pojemności różnej od 2 N Sposoby skrócenia cyklu pracy licznika do wartości P < 2 N : poprzez odpowiednie zaprojektowanie licznika na pojedynczych przerzutnikach; poprzez dekodowanie stanu licznika odpowiadającego wymaganej pojemności i generowanie na tej podstawie sygnału zerującego licznik (metoda wykorzystywana przy stosowaniu liczników scalonych); poprzez rozłożenie wymaganej pojemności P licznika na czynniki proste. Licznik dwójkowy 7493 Układ 7493 zawiera dwójkę liczącą P = 2 (przerzutnik ) oraz licznik mod. 8 (przerzutniki, i D). Wyjście przerzutnika nie jest połączone z wejściem przerzutnika, który ma wyprowadzone na zewnątrz wejście impulsów zliczanych. Układ posiada wspólny obwód asynchronicznego zerowania, wyzwalany sygnałami = = 1. a) b) Q R 1 "1" "1" Q "1" "1" J Q J Q J Q J Q K Q R K Q R K Q R K Q R R 2 R 1 R 2 Rys Licznik dwójkowy 7493: a - symbol; b - struktura wewnętrzna. 8

9 Przykłady wykorzystania licznika 7493 Dokonując odpowiednich sprzężeń wyjść i wejść (bezpośrednio lub przy użyciu dodatkowych bramek) uzyskujemy liczniki o skróconym cyklu pracy. a) b) c) we we we Q Q Q Rys Przykłady skrócenia cyklu pracy licznika 7493: a - modulo 10; b - modulo 6; c - modulo 5 Łącząc kaskadowo układy 7493, uzyskamy licznik o pojemności P >16. Na rys. 5-6 przedstawiono dwa przykładowe warianty licznika mod. 80. a) b) we Q Q we Q Q Rys Przykłady kaskadowego łączenia układu 7493 w licznik modulo 80: a - dzielnik przez 16 i dzielnik przez 5; b - dzielnik przez 8 i dzielnik przez 10. W pierwszym z nich (a) wyzerowania obu liczników następuje przy zmianie stanu starszego licznika z 100 na 101. Zachodzi to gdy cały licznik zmienia swój stan z (79) na (80). W wariancie (b) pierwszy licznik wykorzystany jest jako dzielnik przez 8, zaś drugi jako dekada (dzielnik przez 10). W obu wariantach pominięto w jednym z układów 7493 dwójkę liczącą. Dekada 7490 Układ 7490 zwany dekadą liczącą, zawiera dwójkę liczącą P = 2 (przerzutnik ) oraz licznik mod. 5 (przerzutniki, i D). Układ ma wspólny obwód asynchronicznego zerowania, wyzwalany sygnałami ==1 oraz układ ustawiania stanu dekady na wartość 9 (1001), wyzwalany sygnałami S1=S2=1. 9

10 a) b) Q "1 J K S Q Q R J Q Q J K Q R K Q R "1 "1 "1 Q J K S Q Q R S1 S2 we we R 1 R 2 S 1 S 2 Rys Dekada 7490: a - symbol; b - struktura wewnętrzna Stosując odpowiednie sprzężenia wyjść z wejściami można uzyskać różne długości cyklu pracy licznika, podobnie jak w przypadku układu ZYNNOŚI PRZYGOTOWWZE Przed przystąpieniem do wykonania ćwiczenia, student powinien: - zapoznać się z instrukcją, - przeanalizować struktury wewnętrzne oraz zasadę działania poszczególnych klas liczników w oparciu o wykresy czasowe. - przedstawić rozwiązania układowe zadań podanych przez prowadzącego. 6. PRZEIEG ĆWIZENI W trakcie realizacji ćwiczenia studenci wykorzystują poznane i opisane wcześniej aplikacje i narzędzia programowe. 1. Uruchamiamy system. Wprowadzamy plik źródłowy projektu. 2. Dokonujemy kompilacji i symulacji projektu. 3. Uruchamiamy edytor przebiegów wymuszeń na wejściu. 4. Dokonujemy wyboru przebiegów do symulacji przy ustalonej rozdzielczości (Options>Grid Size...) oraz całkowitym czasie symulacji (File>End Time...). 5. Przebiegiem wymuszającym może być sygnał okresowy lk, wywołany z poziomu menu (Edit>Overwrite...). 6. Przyciskiem Start w oknie dialogowym wywołanym ikoną na pasku zadań, uruchamiamy symulator programowy. 7. Sprawdzamy poprawność działania układu licznikowego, czasy narastania i opadania zboczy oraz czasy propagacji i weryfikujemy ewentualne błędy. 10

11 8. Ikoną na pasku zadań uruchamiamy symulator-analizator czasowy i dokonujemy analizy czasów propagacji sygnałów. 9. Przypisujemy sygnałom we/wy odpowiednie wyprowadzenia struktury programowalnej. 10. Uruchamiamy programator (Programmer) w celu realizacji projektu licznika w strukturze programowalnej. 11. Sprawdzamy poprawność działania zaprogramowanego układu i weryfikujemy ewentualne błędy. 7. SPRWOZDNIE I FORM ZLIZENI ĆWIZENI Warunkiem zaliczenia ćwiczenia jest poprawny przebieg symulacji i działanie zaprogramowanego układu na stanowisku laboratoryjnym, przedstawienie wszystkich etapów syntezy układów w postaci protokołu oraz wykazanie się niezbędną wiedzą z zakresu wykonywanego ćwiczenia. Protokół powinien zawierać: temat i cel ćwiczenia, treść wykonywanych zadań, kolejne etapy syntezy, schematy projektowanych układów, wyniki symulacji i realizacji projektów oraz wnioski. 8. LITERTUR 1. L. Grodzki, W. Owieczko: Podstawy techniki cyfrowej, M. arski, W. Jędruch: Układy cyfrowe- podstawy projektowania i opis w języku VHDL, Gdańsk Skorupski.: Podstawy techniki cyfrowej, WKŁ, Materiały pomocnicze strona internetowa firmy ltera 11

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika iałostocka Wydział Elektryczny Katedra utomatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIK YFOW 2 Z1400 028 Ćwiczenie Nr 5 LIZNIKI WÓKOWE I ZIESIĘNE Opracował:

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Sekwencyjne bloki funkcjonalne

Sekwencyjne bloki funkcjonalne ekwencyjne bloki funkcjonalne Układy sekwencyjne bloki funkcjonalne 2/28 ejestry - układy do przechowywania informacji, charakteryzujące się róŝnymi metodami jej zapisu lub odczytu a) b) we wy we... we

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika Białostocka Wydział Elektryczny atedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIA YFROWA 2 Z1A400 028 Ćwiczenie Nr 3 PRZERZUNII D, J i. REALIZAJA UŁADÓW

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM. AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI ELWIS Rev.1.0 1. Wprowadzenie Celem

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział lektryczny Katedra Automatyki i lektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALN STRUKTURY LOGICZN SC420 300 Ćwiczenie Nr 3 RALIZACJA UKŁADÓW

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Projektowanie i badanie liczników synchronicznych i asynchronicznych

Projektowanie i badanie liczników synchronicznych i asynchronicznych Laboratorium Podstaw Techniki Cyfrowej dr Marek Siłuszyk mgr Arkadiusz Wysokiński Ćwiczenie 08 PTC Projektowanie i badanie liczników synchronicznych i asynchronicznych opr. tech. Mirosław Maś Uniwersytet

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW e-version: dr inż. Tomasz apłon INTYTUT YBENETYI TEHNIZNE PLITEHNII WŁAWIE ZAŁA ZTUZNE INTELIGENI I AUTMATÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 23 temat: UŁAY EWENYNE. EL ĆWIZENIA

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

P.Rz. K.P.E. Laboratorium Elektroniki 2FD 2003/11/06 LICZNIKI CYFROWE

P.Rz. K.P.E. Laboratorium Elektroniki 2FD 2003/11/06 LICZNIKI CYFROWE P.Rz. K.P.E. Laboratorium Elektroniki 2F 2003/11/06 LIZNIKI YFROWE 1. WSTĘP elem ćwiczenia zilustrowanie zasad pracy wybranych realizacji układowych liczników oraz scalonych programowanych układów liczników.

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Ćwiczenie Nr 12 PROJEKTOWANIE WYBRANYCH

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1. Ćwiczenie 8 Liczniki zliczające, kody BCD, 8421, 2421 Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i 2421. Wstęp teoretyczny. Przerzutniki

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia Opracował: mgr inż. Antoni terna ATEDA INFOMATYI TEHNIZNE Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 203 Temat: Układy sekwencyjne 1. el ćwiczenia elem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 9 REALIZACJA

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

Laboratorium Techniki Cyfrowej i Mikroprocesorowej

Laboratorium Techniki Cyfrowej i Mikroprocesorowej Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych Laboratorium Techniki Cyfrowej i Mikroprocesorowej Liczniki i dzielniki częstotliwości Ćwiczenie

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

6. SYNTEZA UKŁADÓW SEKWENCYJNYCH

6. SYNTEZA UKŁADÓW SEKWENCYJNYCH 6. SYNTEZA UKŁADÓW SEKWENCYJNYCH 6.1. CEL ĆWICZENIA Układy sekwencyjne są to układy cyfrowe, których stan jest funkcją nie tylko sygnałów wejściowych, ale również historii układu. Wynika z tego, że struktura

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1.

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 PAMIĘCI SZEREGOWE EEPROM Ćwiczenie 3 Opracował: dr inŝ.

Bardziej szczegółowo

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Licznik binarny Licznik binarny jest najprostszym i najpojemniejszym licznikiem. Kod 4 bitowego synchronicznego licznika binarnego

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

Zadanie 5 Projekt licznika wykorzystanie komórek standardowych

Zadanie 5 Projekt licznika wykorzystanie komórek standardowych Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Zadanie 5 Projekt licznika wykorzystanie komórek standardowych KE AGH str. 1 1. Cel Zapoznanie się studenta z projektowaniem hierarchicznym wykorzystując

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające 2 Cyfrowe układy sekwencyjne Cel ćwiczenia LABORATORIUM ELEKTRONIKI Celem ćwiczenia jest zapoznanie się z cyfrowymi elementami pamiętającymi, budową i zasada działania podstawowych przerzutników oraz liczników

Bardziej szczegółowo

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5.

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Klasa III Opracuj projekt realizacji prac związanych z badaniem działania cyfrowych bloków arytmetycznych realizujących operacje

Bardziej szczegółowo

LICZNIKI. Liczniki asynchroniczne.

LICZNIKI. Liczniki asynchroniczne. LICZNIKI Liczniki asynchroniczne. Liczniki buduje się z przerzutników. Najprostszym licznikiem jest tzw. dwójka licząca. Łatwo ją otrzymać z przerzutnika D albo z przerzutnika JK. Na rys.1a został pokazany

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

Programowany układ czasowy APSC

Programowany układ czasowy APSC Programowany układ czasowy APSC Ośmiobitowy układ czasowy pracujący w trzech trybach. Wybór trybu realizowany jest przez wartość ładowaną do wewnętrznego rejestru zwanego słowem sterującym. Rejestr ten

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW Wydział Fizyki UW Pracownia fizyczna i elektroniczna (w tym komputerowa) dla Inżynierii Nanostruktur (1100-1INZ27) oraz Energetyki i Chemii Jądrowej (1100-1ENFIZELEK2) Ćwiczenie 2 Przerzutniki Streszczenie

Bardziej szczegółowo

1. Cel ćwiczenia. 2. Podłączenia urządzeń zewnętrznych w sterowniku VersaMax Micro

1. Cel ćwiczenia. 2. Podłączenia urządzeń zewnętrznych w sterowniku VersaMax Micro 1. Cel ćwiczenia Celem ćwiczenia jest zaprojektowanie sterowania układem pozycjonowania z wykorzystaniem sterownika VersaMax Micro oraz silnika krokowego. Do algorytmu pozycjonowania wykorzystać licznik

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Układy cyfrowe - bramki logiczne i przerzutniki

Podstawy Elektroniki dla Elektrotechniki. Układy cyfrowe - bramki logiczne i przerzutniki AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Układy cyfrowe - bramki logiczne i przerzutniki Ćwiczenie 6a, 6b Instrukcja do ćwiczeń symulacyjnych (6a) Instrukcja do ćwiczeń sprzętowych

Bardziej szczegółowo

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Ćwiczenie 27C Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Cel ćwiczenia Poznanie budowy i zasad działania oraz właściwości układów synchronicznych, aby zapewnić podstawy

Bardziej szczegółowo

Technika Cyfrowa. Badanie pamięci

Technika Cyfrowa. Badanie pamięci LABORATORIUM Technika Cyfrowa Badanie pamięci Opracował: mgr inż. Andrzej Biedka CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się studentów z budową i zasadą działania scalonych liczników asynchronicznych

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY Cel ćwiczenia Zapoznanie się z budową i zasadą działania rejestrów cyfrowych wykonanych w ramach TTL. Zestawienie przyrządów i połączenie rejestru by otrzymać

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Cel ćwiczenia: Głównym celem ćwiczenia jest nauczenie się obsługi klawiatury. Klawiatura jest jednym z urządzeń wejściowych i prawie zawsze występuje

Bardziej szczegółowo

Programowany układ czasowy

Programowany układ czasowy Programowany układ czasowy Zbuduj na płycie testowej ze Spartanem-3A prosty ośmiobitowy układ czasowy pracujący w trzech trybach. Zademonstruj jego działanie na ekranie oscyloskopu. Projekt z Języków Opisu

Bardziej szczegółowo

Podstawy Elektroniki dla Teleinformatyki. Generator relaksacyjny

Podstawy Elektroniki dla Teleinformatyki. Generator relaksacyjny AGH Katedra Elektroniki Podstawy Elektroniki dla Teleinformatyki 2014 r. Generator relaksacyjny Ćwiczenie 6 1. Wstęp Celem ćwiczenia jest zapoznanie się, poprzez badania symulacyjne, z działaniem generatorów

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych REJESTRY Laboratorium Techniki Cyfrowej i Mikroprocesorowej Ćwiczenie IV Opracowano na podstawie

Bardziej szczegółowo

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493 Laboratorium Komputerowe Wspomaganie Projektowania Układów Elektronicznych Jarosław Gliwiński, Paweł Urbanek 1. Cel ćwiczenia ćw. Symulacja układów cyfrowych Data wykonania: 16.05.08 Data oddania: 30.05.08

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 8 KONFIGUROWALNE

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 90-236 Łódź, Pomorska 149/153 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo