Układy czasowo-licznikowe w systemach mikroprocesorowych

Wielkość: px
Rozpocząć pokaz od strony:

Download "Układy czasowo-licznikowe w systemach mikroprocesorowych"

Transkrypt

1 Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość wyrażona w bitach, różnią się dla konkretnych typów mikrokontrolerów. 2 1

2 Podstawowe funkcje Praca czasowa (funkcja Timer) jeżeli zadaniem licznika jest odmierzaniu czasu. Częstotliwość sygnału zegarowego (wejściowego) dla licznika jest znana i dokładnie generowana (stabilna). Najczęściej, tym sygnałem zegarowym jest wielokrotność lub podwielokrotność głównego sygnału zegarowego sterującego systemem mikroprocesorowym Praca licznikowa (funkcja counter) jeżeli głównym zadaniem licznika jest zliczanie impulsów, głównie liczby impulsów zewnętrznych. Częstotliwość sygnału wejściowego dla licznika (i dla programisty) nie jest znana. 3 Funkcje układów czasowo-licznikowych Układy czasowo/licznikowe są stosowane do realizacji następujących funkcji: Funkcje związane z pracą w trybie czasowym (timer) odmierzania dokładnych jednostek czasowych i generowanie sygnału przerwania sprzętowego w ściśle określonych odstępach czasowych, odmierzania odstępów czasu między zdarzeniami zachodzącymi w systemie mikroprocesorowym, pomiaru czasu trwania impulsów zewnętrznych, (np. pomiar prędkości obrotowej), pomiar częstotliwości sygnału zewnętrznego, generowanie pojedynczych impulsów o ściśle określonych parametrach, generowanie impulsów (sekwencji impulsów) w odstępach czasu o zaprogramowanej wartości, generowanie przebiegu okresowego o zadanej częstotliwości, generowanie przebiegów impulsowych o określonym czasie trwania lub przebiegów o zadanym współczynniku wypełnienia tzw. modulatory PWM (ang. PWM Pulse Witdh Modulation), generowanie sygnału zegarowego dla interfejsu szeregowego, w trybie synchronicznym lub asynchronicznym (baud rate generator), odmierzanie czasu rzeczywistego, tzw. zegar czasu rzeczywistego, (ang. RTC Real Time Clock), praca w trybie licznika nadzorcy (ang. watchdog), Funkcje związane z pracą w trybie licznikowym (counter) zliczanie liczby impulsów zewnętrznych i np. zgłoszenie przerwania po zliczeniu określonej liczby impulsów, zliczanie impulsów z enkoderów obrotowych lub liniowych w celu pomiaru prędkości obrotowej lub pomiaru przebytej drogi 4 2

3 Podstawowa budowa układu licznikowego Układ czasowy lub układ licznikowy zbudowany jest w oparciu o klasyczne cyfrowe układy licznikowe (synchroniczne), składające się z przerzutników typu D lub J-K i zliczające w naturalnym kodzie binarnym (BIN) Aby taki układ mógł pracować w systemie mikroprocesorowym musi być wyposażony w dodatkowe elementy (bramki logiczne) i układy logiczne (rejestry, komparatory), które umożliwiają programowalność licznika i realizację kilku funkcji. clk D Q t t t Symbol przerzutnika typu D D wejście informacyjne CLK wejście zegarowe /R wejście asynchroniczne zerujące RESET /S wejście asynchroniczne ustawiające SET Q wyjście /Q wyjście zanegowane Wejście asynchroniczne zerujące /R (w tym przypadku stan aktywny 0 ) zeruje stan przerzutnika (na wyjściu Q stan 0 ) bez względu na sygnały na wejściach clk i D. Wejście asynchroniczne ustawiające /S (w tym przypadku stan aktywny 0 ) ustawia stan 1 na wyjściu Q przerzutnika bez względu na sygnały na wejściach clk i D. UWAGA! - Nie można w tym samym momencie podać sygnału /R i /S jest to tzw. stan zabroniony. Przerzutnik typu D (data) (ang. Flip-flop) jeden z podstawowych rodzajów przerzutników synchronicznych. Przerzutnik ten przepisuje stan wejścia informacyjnego D na wyjście Q. Przepisanie informacji następuje tylko przy odpowiednim stanie wejścia zegarowego, np. zbocza narastającego. 5 Podstawowa budowa układu licznikowego stan aktualny licznika Generator zegarowy fclk Sterowanie zliczaniem Sterowanie kierunkiem zliczania, up/down Licznik binarny 2 n Rejestr wartości początkowej Zerowanie Wpis, reset set wartość początkowa do zliczania Podstawowe parametry licznika: pojemność licznika w kodzie BIN 2 8, 2 10, 2 16, 2 32, w kodzie BCD - 1xBCD, 2xBCD, 3xBCD, 4xBCD format zliczania, kod wyjścia licznika kod binarny naturalny BIN i kod binarny stan licznika Zliczanie w dziesiętny BCD, górę Max wartość kierunek zliczania tylko w górę, tylko w dół, albo możliwość wyboru - tryb rewersyjny, max częstotliwość sygnału wejściowego, wyjście bitu przepełnienia i bitu pożyczki, wejście zerujące i ustawiające, możliwość automatycznego wpisania wartości początkowej wartość 0 zerowanie Bit przepełnienia (carry) Bit pożyczki (borrow) Zliczanie w dół t 6 3

4 Podstawowa budowa układu licznikowego W większości przypadków licznik w systemie jest licznikiem zliczającym w górę lub w dół z możliwością wpisywania wartości początkowej i odczytu aktualnego stanu licznika. Po przepełnieniu licznik ustawia bit przepełnienia i może generować przerwanie sprzętowe. Liczniki przeważenie są: w prostych mikrokontrolerach 8-10-bitowe (8-bit MCU) 16-bitowe (8 lub 16-bit MCU), 32-bitowe w rozbudowanych mikrokontrolerach (16 lub 32-bit MCU) 7 Przykładowa struktura układu licznikowego w mikrokontrolerze Sterowanie zliczaniem sygnałem zewnętrznym Ext. Gate Sterowanie programowe zliczaniem Wartość do porównania Rejestr LSB Rejestr MSB komparator Bit równości Zegar systemowy fx fext Wybór źródła sygnału Preskaler Wybór dzielnika wstępnego fclk Licznik binarny 2 n Rejestr LSB wartość początkowa do zliczania Aktualny stan licznika Licznik binarny 2 n Bit przepełnienia Rejestr MSB auto-przeładowanie IRQ Zerowanie bitu 8 4

5 Podstawowe funkcje układu czasowego odmierzanie jednostek czasowych Czas po którym nastąpi przepełnienie licznika T = (N max N po )/f clk Generowanie Sterowanie układem wew. f clk Start zliczania N po - wartość początkowa do zliczania Licznik binarny 2 n Rejestr Bit przepełnienia auto-przeładowanie Zerowanie bitu programowe lub automatyczne Funkcja Wybór funkcji Zewnętrzna końcówka mikrokontrolera Po wystąpieniu przepełnienia licznika może nastąpić: wygenerowanie sygnału przerwania sprzętowego IRQ, ustawienie stanu niskiego 0 (wyzerowanie) na końcówce (linii) wyjściowej, ustawienie stanu wysokiego 1 (ustawienie) na końcówce wyjściowej, zanegowanie stanu logicznego na końcówce wyjściowej, wysłanie sygnału do urządzenia wewnętrznego mikrokontrolera (np. sygnał START dla wewnętrznego przetwornika A/C), jeżeli licznik ma taką funkcję, może wystąpić automatyczne przeładowanie licznika wartością początkową 9 Podstawowe funkcje układu czasowego odmierzanie jednostek czasowych Stan licznika Przepełnienie (overflow) 0xFF N max - wartość max licznika 0x00 START N po - wartość początkowa Czas [s] START zliczania od wartości 0 START zliczania od wartości 0xF0 Czas po którym nastąpi przepełnienie licznika T = (N max N po )/f clk 10 5

6 Podstawowe funkcje układu licznikowego zliczanie impulsów zewnętrznych Aktualna wartość licznika Generowanie Zewnętrzna końcówka mikrokontrolera Zbocze Start zliczania Wybór zbocza do zliczania N po - wartość początkowa do zliczania Licznik binarny 2 n Rejestr Bit przepełnienia auto-przeładowanie Zerowanie bitu programowe lub automatyczne 11 Podstawowe funkcje układu czasowego generator sygnału Okres sygnału wyjściowego T = 2 * (N max N po )/f clk Generowanie Sterowanie układem wew. f clk Start zliczania N po - wartość początkowa do zliczania Licznik binarny 2 n Rejestr Bit przepełnienia auto-przeładowanie D Przerzutnik typu D clk Q /Q szerokość impulsu - 50% Zewnętrzna końcówka mikrokontrolera Po wystąpieniu przepełnienia licznika następuje: wygenerowanie sygnału, którego narastające zbocze (sygnał zegarowy clk dla przerzutnika typu D) powoduje zmianę stanu wyjścia Q na przeciwny, Sygnał wyjściowy z przerzutnika ma częstotliwość dwa razy mniejszą od częstotliwości przepełnienia licznika i współczynnik wypełnienia sygnału wynosi 50%. T - Okres sygnału 12 6

7 Podstawowe funkcje układu czasowego przechwytywanie zdarzeń (capture unit) Wpis do rejestru stanu licznika Stan rejestru 1 Rejestr 1 Wykrywanie zbocza Wybór zbocza Zewnętrzna końcówka mikrokontrolera Sygnał Wpis do rejestru stanu licznika Wybór zbocza sygnału Stan rejestru 2 Rejestr 2 Wykrywanie zbocza Wybór zbocza Zewnętrzna końcówka mikrokontrolera Sygnał Wybór zbocza sygnału f clk Licznik binarny 2 n Bit przepełnienia Generowanie Start zliczania 13 Podstawowe funkcje układu czasowego porównywanie (compare unit) Sygnał równości f clk Rejestr stanu 1 Rejestr stanu 2 Start zliczania R R Komparator R=L L Komparator R=L L Licznik binarny 2 n Bit równości Sygnał Wybór funkcji Sygnał równości Bit równości Bit przepełnienia Funkcja Funkcja Sygnał Wybór funkcji Generowanie Zewnętrzna końcówka mikrokontrolera Zewnętrzna końcówka mikrokontrolera Funkcje wyjścia: zanegowanie wyjścia, ustawienie wyjścia, wyzerowanie wyjścia. 14 7

8 Podstawowe funkcje układu czasowego modulator PWM Mikrokontrolery przewidziane do zastosowań sterowania i kontroli w szczególności w układach napędowych zawierają rozbudowane i czasami skomplikowane układy czasowo-licznikowe (modulatory PWM - modulator szerokości impulsu). Mają one za zadanie generowanie precyzyjnych przebiegów PWM, które następnie sterują tranzystorami mocy np. w układzie falownika, zasilacza impulsowego, itp. 15 Podstawy techniki modulacji PWM U max t off Szerokość impulsu T t on Okres sygnału t on Regulacja stanem niskim Regulacja stanem wysokim U avg T- okres sygnału PWM [s], T = t off + t on F = 1/T częstotliwość sygnału PWM [Hz] t off - czas trwania stanu niskiego [s] t on - czas trwania stanu wysokiego [s] U avg = U max *t on /T wartość średnia napięcia wyjściowego T t off Okres sygnału Wyjście PWM komplementarne Regulacja stanem wysokim OUT t on /OUT T t off t off Okres sygnału Regulacja stanem niskim od przodu i tyłu Ustawiany tzw. czas martwy (dead time) 16 8

9 Przykładowa struktura modulatora PWM Szerokość impulsu Rejestr szerokości impulsów Okres sygnały Wyjście z regulacją stanu 0 Komparator szerokości = fclk Zerowanie Licznik binarny modulo Nt R Przerzutnik S Q Wyjście /PWM Komparator okresu = Rejestr okresu sygnału Nt 17 Podstawowe funkcje układu czasowego modulator PWM Rozbudowane struktury licznikowo-czasowe spotyka się w mikrokontrolerach stosowanych w urządzeniach napędowych do sterowania, np. silników trójfazowych asynchronicznych, popularnie zwanych falownikami. Są to specjalizowane trójfazowe modulatory PWM, które generują falę trójfazową PWM (dwa przebiegi na jedną fazę - OUT i /OUT) i mają możliwość ustawiania jeszcze tzw. czasu martwego, potrzebnego do bezpiecznego sterowania tranzystorami mocy w układzie mostkowym falownikowym. Do tego jeszcze, taki mikrokontroler zawiera kolejny tzw. szybki układ licznikowy do pomiaru prędkości obrotowej silnika i wykrywania kierunku wirowania silnika. Takie układy licznikowe spotyka się, np. w procesorach sygnałowych zaprojektowanych pod kątem zastosowania w napędach falownikowych lub sterowania silnikami bezszczotkowymi. 18 9

10 Przykłady układów czasowo-licznikowych Układ 8051, timer T1, tryb 0-13-bitowy, tryb 1-16-bitowy Układ 8051, timer T1, tryb 2 8-bitowy z automatycznym przeładowaniem 19 Przykłady układów czasowo-licznikowych Układ 8-bitowy, ATMEGA128, timer T3 16-bitowy 20 10

11 Przykład 6-kanałowego modulatora PWM w mikrokontrolerze typu ARM, LPC21XX 21 Przykład 32-bitowego licznika w mikrokontrolerze typu ARM, LPC21XX 22 11

12 Przykład 16-bitowego licznika w mikrokontrolerze typu Cortex-M4 w STM32F4xx Parametry licznika: pojemność 16 bitów, kod BIN, licznik zlicza w górę, w dół lub góra/dół, automatyczne przeładowanie (up, down, up/down auto-reload counter), Dodatkowy licznik powtórzeń (repetition counter), dzielnik wstępny, prescaler 16 bitowy, cztery kanały wyjściowe, komplementarne cztery kanały wejściowe, wybór zbocza cztery jednostki porównawcze, kilka źródeł sygnału wejściowego, max częstotliwość 168MHz, Podstawowe tryby pracy: tryb porównania (Output Compare), tryb przechwytywania (Input Capture), tryb pojedynczego impulsu wyjściowego (One-pulse mode output), tryb pracy PWM (PWM generation (Edge and Center-aligned Mode)), możliwość ustawiania czasu martwego, współpraca z zewnętrznymi enkoderami kwadraturowymi i czujnika Halla, szybkie wejście do zerowania stanu wyjść kanałów (Break input),. Schemat blokowy układu czasowo-licznikowgo TIM1 i TIM8 w STM32F Układy RTC Real Time Clock Zegar czasu rzeczywistego służy do odmierzania aktualnego czasu i daty. Jest to specjalizowany układ czasowo-licznikowy z dodatkowymi funkcjami, np. alarmu, dodatkowej pamięci RAM. W systemach mikroprocesorowych do tego celu stosuje się specjalizowane układy scalone, np. PCF8583, PCF8563, DS1307. Układy RTC obecnie są stosowane w prawie każdym urządzeniu przenośnym - telefon komórkowy, tablet, odbiornik GPS. W prostych mikrokontrolerach można zrealizować układ RTC w sposób sprzętowo-programowy, korzystając z typowego układu licznikowego. Rozbudowane mikrokontrolery posiadają specjalizowane wewnętrzne układy RTC, które wymagają dołączenia zewnętrznego rezonatora kwarcowego (najczęściej jest to kwarc o częstotliwości 32768Hz) i zasilania awaryjnego w postaci baterii, akumulatora lub kondensatora o dużej pojemności

13 Układy RTC Real Time Clock 25 Układy RTC PCF8563, firmy NXP Wewnętrzny schemat blokowy PCF8563 Schemat aplikacyjny Podstawowe parametry układu: Zliczanie lat, miesięcy, dni, weekendów, godzin, minut, sekund na podstawie rezonatora kwarcowe khz Flaga wieku Napięcie zasilania 1.8 to 5.5 V Niski prąd zasilania, typowo 0.25 µa dla VDD = 3.0 V i Tamb = 25 C Magistrala 2-przewodowa typu I2C, 400 khz Programowalny sygnał wyjściowy ( khz, 1024 Hz, 32 Hz i 1 Hz) Funkcje alarmu i timera Wewnętrzny kondensator dla oscylatora Wewnętrzny układ zerowania w momencie włączenia zasilania (power-on reset) Adres dla I2C-bus: slave address: read A3H and write A2H Wyjście przerwania typu otwarty Dren (Open-drain interrupt pin). Wyprowadzenia układu 26 13

14 Układ RTC w mikrokontrolerze STM32F4xx, firmy STM Podstawowe parametry układu: zliczanie lat, miesięcy, dni, godzin, minut, sekund, ułamków sekund, trzy źródła sygnału zegarowego, napięcie zasilania 1.65 to 3.6 V, automatyczne przełączanie zasilania, funkcje alarmu, timera, znacznik czasu (Timestamp), dwa wyjścia alarmowe, wykrywanie tzw. sabotażu (Tamper detection), generowanie przerwań sprzętowych na zdarzenia, 80 bajtów pamięci z podtrzymaniem, 27 14

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 Układ PCF 8583 jest pobierającą małą moc, 2048 bitową statyczną pamięcią CMOS RAM o organizacji 256 x 8 bitów. Adresy i dane są przesyłane szeregowo

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 90-236 Łódź, Pomorska 149/153 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo

Układy czasowe / liczniki (timers/counters)

Układy czasowe / liczniki (timers/counters) Układy czasowe / liczniki (timers/counters) Współpraca MK z otoczeniem w czasie rzeczywistym wymaga odliczania czasu, zliczania zdarzeń lub generowania złożonych sekwencji binarnych. Funkcje te realizowane

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Liczniki Timer Counter T/C0, T/C1, T/C2

Mikroprocesory i Mikrosterowniki Liczniki Timer Counter T/C0, T/C1, T/C2 Mikroprocesory i Mikrosterowniki Liczniki Timer Counter T/C0, T/C1, T/C2 Wydział Elektroniki Mikrosystemów i Fotoniki Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji ATmega8535, www.atmel.com.

Bardziej szczegółowo

Uproszczony schemat blokowy zespołu 8-bitowego timera przedstawiono na rys.1

Uproszczony schemat blokowy zespołu 8-bitowego timera przedstawiono na rys.1 Dodatek C 1. Timer 8-bitowy (Timer0) 1.1. Opis układu Uproszczony schemat blokowy zespołu 8-bitowego timera przedstawiono na rys.1 Rys. 1. Schemat blokowy timera Źródłem sygnału taktującego może być zegar

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Programowany układ czasowy APSC

Programowany układ czasowy APSC Programowany układ czasowy APSC Ośmiobitowy układ czasowy pracujący w trzech trybach. Wybór trybu realizowany jest przez wartość ładowaną do wewnętrznego rejestru zwanego słowem sterującym. Rejestr ten

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

Programowanie mikrokontrolerów 2.0

Programowanie mikrokontrolerów 2.0 Programowanie mikrokontrolerów 2.0 Zegar czasu rzeczywistego Marcin Engel Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 5 maja 2015 Zegar czasu rzeczywistego Niezależny układ RTC (ang.

Bardziej szczegółowo

Wbudowane układy peryferyjne cz. 2 Wykład 8

Wbudowane układy peryferyjne cz. 2 Wykład 8 Wbudowane układy peryferyjne cz. 2 Wykład 8 Timery Timery (liczniki) 2 Timery informacje ogólne Mikrokontroler ATmega32 posiada 3 liczniki: Timer0 8-bitowy Timer1 16-bitowy Timer2 8-bitowy, mogący pracować

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Programowany układ czasowy

Programowany układ czasowy Programowany układ czasowy Zbuduj na płycie testowej ze Spartanem-3A prosty ośmiobitowy układ czasowy pracujący w trzech trybach. Zademonstruj jego działanie na ekranie oscyloskopu. Projekt z Języków Opisu

Bardziej szczegółowo

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Ćwiczenie 27C Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Cel ćwiczenia Poznanie budowy i zasad działania oraz właściwości układów synchronicznych, aby zapewnić podstawy

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach 80x86

Układy czasowo-licznikowe w systemach 80x86 Układy czasowo-licznikowe w systemach 80x86 Semestr zimowy 2014/2015, WIEiK-PK 1 Układy czasowo-licznikowe w systemach 80x86 W komputerach osobistych oprogramowanie w szczególności, jądro systemu musi

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

Enkoder magnetyczny AS5040.

Enkoder magnetyczny AS5040. Enkoder magnetyczny AS5040. Edgar Ostrowski Jan Kędzierski www.konar.ict.pwr.wroc.pl Wrocław, 28.01.2007 1 Spis treści 1 Wstęp... 3 2 Opis wyjść... 4 3 Tryby pracy... 4 3.1 Tryb wyjść kwadraturowych...

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 ZEGAR CZASU RZECZYWISTEGO Ćwiczenie 4 Opracował: dr inŝ.

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Układy zegarowe w systemie mikroprocesorowym

Układy zegarowe w systemie mikroprocesorowym Układy zegarowe w systemie mikroprocesorowym 1 Sygnał zegarowy, sygnał taktujący W każdym systemie mikroprocesorowym jest wymagane źródło sygnałów zegarowych. Wszystkie operacje wewnątrz jednostki centralnej

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Hardware mikrokontrolera X51

Hardware mikrokontrolera X51 Hardware mikrokontrolera X51 Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Hardware mikrokontrolera X51 (zegar)

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

Zewnętrzne układy peryferyjne cz. 1 Wykład 12

Zewnętrzne układy peryferyjne cz. 1 Wykład 12 Zewnętrzne układy peryferyjne cz. 1 Wykład 12 Wyświetlacz LCD zgodny z HD44780 Wyświetlacz LCD zgodny z HD44780 2 HD44780 Standardowy sterownik alfanumerycznych wyświetlaczy LCD opracowany przez firmę

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA TIMERY w mikrokontrolerach Atmega16-32 Opracował:

Bardziej szczegółowo

Wyjścia analogowe w sterownikach, regulatorach

Wyjścia analogowe w sterownikach, regulatorach Wyjścia analogowe w sterownikach, regulatorach 1 Sygnały wejściowe/wyjściowe w sterowniku PLC Izolacja galwaniczna obwodów sterownika Zasilanie sterownika Elementy sygnalizacyjne Wejścia logiczne (dwustanowe)

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

Metody obsługi zdarzeń

Metody obsługi zdarzeń SWB - Przerwania, polling, timery - wykład 10 asz 1 Metody obsługi zdarzeń Przerwanie (ang. Interrupt) - zmiana sterowania, niezależnie od aktualnie wykonywanego programu, spowodowana pojawieniem się sygnału

Bardziej szczegółowo

Scalony analogowy sterownik przekształtników impulsowych MCP1630

Scalony analogowy sterownik przekształtników impulsowych MCP1630 Scalony analogowy sterownik przekształtników impulsowych MCP1630 DRV CFB VFB 1. Impuls zegara S=1 R=0 Q=0, DRV=0 (przez bramkę OR) 2. Koniec impulsu S=0 R=0 Q=Q 1=0 DRV=1 3. CFB > COMP = f(vfb VREF) S=0

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA TIMERY w mikrokontrolerach Atmega16-32 Opracował:

Bardziej szczegółowo

Część 6. Mieszane analogowo-cyfrowe układy sterowania. Łukasz Starzak, Sterowanie przekształtników elektronicznych, zima 2011/12

Część 6. Mieszane analogowo-cyfrowe układy sterowania. Łukasz Starzak, Sterowanie przekształtników elektronicznych, zima 2011/12 Część 6 Mieszane analogowo-cyfrowe układy sterowania 1 Korzyści z cyfrowego sterowania przekształtników Zmniejszenie liczby elementów i wymiarów układu Sterowanie przekształtnikami o dowolnej topologii

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach

Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach Semestr zimowy 2012/2013, E-3, WIEiK-PK 1 Porty wejścia-wyjścia Input/Output ports Podstawowy układ peryferyjny port wejścia-wyjścia

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Urządzenia peryferyjne procesora ColdFire

Urządzenia peryferyjne procesora ColdFire Urządzenia peryferyjne procesora ColdFire 1 Moduł generatora sygnału zegarowego (Clock Module) 2 Generator z pętlą PLL (1) Pętla synchronizacji fazy, pętla sprzężenia fazowego, PLL (ang. Phase Locked Loop)

Bardziej szczegółowo

Mikrokontroler ATmega32. System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe

Mikrokontroler ATmega32. System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe Mikrokontroler ATmega32 System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe 1 Przerwanie Przerwanie jest inicjowane przez urządzenie zewnętrzne względem mikroprocesora, zgłaszające potrzebę

Bardziej szczegółowo

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem 2-3-29 Przerzutniki Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem (dotychczas mówiliśmy o układach logicznych kombinatorycznych - stan wyjść określony jednoznacznie przez

Bardziej szczegółowo

Politechnika Białostocka

Politechnika Białostocka Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: UKŁADY ELEKTRONICZNE 2 (TS1C500 030) UKŁADY CZASOWE Białystok 2014 1. Cele

Bardziej szczegółowo

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Politechnika Białostocka

Politechnika Białostocka Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: ELEKTRONIKA EKS1A300024 UKŁADY CZASOWE Białystok 2015 1. Cele ćwiczenia

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Funkcje sterowania cyfrowego przekształtników (lista nie wyczerpująca)

Funkcje sterowania cyfrowego przekształtników (lista nie wyczerpująca) Funkcje sterowania cyfrowego przekształtników (lista nie wyczerpująca) tryb niskiego poboru mocy przełączanie źródeł zasilania łagodny start pamięć i zarządzanie awariami zmiana (nastawa) sygnału odniesienia

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Wykład 4 Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Mikrokontrolery PIC Mikrokontrolery PIC24 Mikrokontrolery PIC24 Rodzina 16-bitowych kontrolerów RISC Podział na dwie podrodziny: PIC24F

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

Przerwania, polling, timery - wykład 9

Przerwania, polling, timery - wykład 9 SWB - Przerwania, polling, timery - wykład 9 asz 1 Przerwania, polling, timery - wykład 9 Adam Szmigielski aszmigie@pjwstk.edu.pl SWB - Przerwania, polling, timery - wykład 9 asz 2 Metody obsługi zdarzeń

Bardziej szczegółowo

1.2. Architektura rdzenia ARM Cortex-M3...16

1.2. Architektura rdzenia ARM Cortex-M3...16 Od Autora... 10 1. Wprowadzenie... 11 1.1. Wstęp...12 1.1.1. Mikrokontrolery rodziny ARM... 14 1.2. Architektura rdzenia ARM Cortex-M3...16 1.2.1. Najważniejsze cechy architektury Cortex-M3... 16 1.2.2.

Bardziej szczegółowo

Politechnika Poznańska Wydział Budowy Maszyn i Zarządzania. Sterowniki Urządzeń Mechatronicznych laboratorium. Ćw. 3: Timer v1.0

Politechnika Poznańska Wydział Budowy Maszyn i Zarządzania. Sterowniki Urządzeń Mechatronicznych laboratorium. Ćw. 3: Timer v1.0 1 CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z możliwościami odmierzania czasu za pomocą wewnętrznego TIMER a mikrokontrolerów serii AVR 2 ZAKRES NIEZBĘDNYCH WIADOMOŚCI - wiadomości z poprzednich

Bardziej szczegółowo

Modulatory PWM CELE ĆWICZEŃ PODSTAWY TEORETYCZNE

Modulatory PWM CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Modulatory PWM CELE ĆWICZEŃ Poznanie budowy modulatora szerokości impulsów z układem A741. Analiza charakterystyk i podstawowych obwodów z układem LM555. Poznanie budowy modulatora szerokości impulsów

Bardziej szczegółowo

Układy zegarowe w systemie mikroprocesorowym

Układy zegarowe w systemie mikroprocesorowym Układy zegarowe w systemie mikroprocesorowym 1 Przykładowa struktura systemu mikroprocesorowego IRQ AcDMA ReDMA Generator zegarowy fx fcpu fio fm System przerwań sprzętowych IRQ Bezpośredni dostęp do pamięci

Bardziej szczegółowo

Zastosowania mikrokontrolerów w przemyśle

Zastosowania mikrokontrolerów w przemyśle Zastosowania mikrokontrolerów w przemyśle Cezary MAJ Katedra Mikroelektroniki i Technik Informatycznych Współpraca z pamięciami zewnętrznymi Interfejs równoległy (szyna adresowa i danych) Multipleksowanie

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Projektowanie i badanie liczników synchronicznych i asynchronicznych

Projektowanie i badanie liczników synchronicznych i asynchronicznych Laboratorium Podstaw Techniki Cyfrowej dr Marek Siłuszyk mgr Arkadiusz Wysokiński Ćwiczenie 08 PTC Projektowanie i badanie liczników synchronicznych i asynchronicznych opr. tech. Mirosław Maś Uniwersytet

Bardziej szczegółowo

Falowniki Wektorowe Rexroth Fv Parametryzacja

Falowniki Wektorowe Rexroth Fv Parametryzacja Rexroth Fv Falowniki Wektorowe Rexroth Fv Parametryzacja 1 Rexroth Fv 2 3 Częstotl. wyjściowa Prędkość wyjściowa Częstotl. odniesienia Ustalanie przez użytk. Częstotl. wyj. Naciśnij Func b Naciśnij Set

Bardziej szczegółowo

Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach

Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach 0-- Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach Semestr zimowy 0/0, WIEiK-PK Porty wejścia-wyjścia Input/Output ports Podstawowy układ peryferyjny port wejścia-wyjścia do

Bardziej szczegółowo

Opis funkcjonalny i architektura. Modu³ sterownika mikroprocesorowego KM535

Opis funkcjonalny i architektura. Modu³ sterownika mikroprocesorowego KM535 Opis funkcjonalny i architektura Modu³ sterownika mikroprocesorowego KM535 Modu³ KM535 jest uniwersalnym systemem mikroprocesorowym do pracy we wszelkiego rodzaju systemach steruj¹cych. Zastosowanie modu³u

Bardziej szczegółowo

Programowanie mikrokontrolerów AVR z rodziny ATmega.

Programowanie mikrokontrolerów AVR z rodziny ATmega. Programowanie mikrokontrolerów AVR z rodziny ATmega. Materiały pomocnicze Jakub Malewicz jakub.malewicz@pwr.wroc.pl Wszelkie prawa zastrzeżone. Kopiowanie w całości lub w częściach bez zgody i wiedzy autora

Bardziej szczegółowo

Programowanie mikrokontrolerów 2.0

Programowanie mikrokontrolerów 2.0 4.1 Programowanie mikrokontrolerów 2.0 Taktowanie Marcin Engel Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 22 listopada 2016 4.2 Drzewo taktowania w STM32F411 Źródło: RM0383 Reference

Bardziej szczegółowo

4. Karta modułu Slave

4. Karta modułu Slave sygnały na magistralę. Można wyróżnić trzy typy układów scalonych takie jak bramki o otwartym kolektorze wyjściowym, bramki trójstanowe i bramki o przeciwsobnym wzmacniaczu wyjściowym. Obciążalność prądową

Bardziej szczegółowo

System mikroprocesorowy i peryferia. Dariusz Chaberski

System mikroprocesorowy i peryferia. Dariusz Chaberski System mikroprocesorowy i peryferia Dariusz Chaberski System mikroprocesorowy mikroprocesor pamięć kontroler przerwań układy wejścia wyjścia kontroler DMA 2 Pamięć rodzaje (podział ze względu na sposób

Bardziej szczegółowo

Programowanie mikrokontrolerów 2.0

Programowanie mikrokontrolerów 2.0 6.1 Programowanie mikrokontrolerów 2.0 Liczniki Marcin Engel Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 31 października 2017 Liczniki Układy sprzętowe wyposażone w wewnętrzny rejestr

Bardziej szczegółowo

Wbudowane układy komunikacyjne cz. 1 Wykład 10

Wbudowane układy komunikacyjne cz. 1 Wykład 10 Wbudowane układy komunikacyjne cz. 1 Wykład 10 Wbudowane układy komunikacyjne UWAGA Nazwy rejestrów i bitów, ich lokalizacja itd. odnoszą się do mikrokontrolera ATmega32 i mogą być inne w innych modelach!

Bardziej szczegółowo

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Interfejsy można podzielić na synchroniczne (oddzielna linia zegara), np. I 2 C, SPI oraz asynchroniczne, np. CAN W rozwiązaniach synchronicznych

Bardziej szczegółowo

Układy kombinacyjne - przypomnienie

Układy kombinacyjne - przypomnienie SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy kombinacyjne - przypomnienie W układzie kombinacyjnym wyjście zależy tylko od wejść, SWB - Układy sekwencyjne - wiadomości podstawowe

Bardziej szczegółowo

Enkodery czujniki cyfrowe

Enkodery czujniki cyfrowe Enkodery czujniki cyfrowe Czujniki cyfrowe - enkodery Przetwarzają sygnał analogowy na cyfrowy w postaci impulsów. Enkoder optyczny liniowy Każdy okres można podzielić np. na 1024 części - rozdzielczość

Bardziej szczegółowo

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO Ćwiczenie 11 BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO 11.1 Cel ćwiczenia Celem ćwiczenia jest poznanie rodzajów, budowy i właściwości przerzutników astabilnych, monostabilnych oraz

Bardziej szczegółowo

ad a) Konfiguracja licznika T1 Niech nasz program składa się z dwóch fragmentów kodu: inicjacja licznika T1 pętla główna

ad a) Konfiguracja licznika T1 Niech nasz program składa się z dwóch fragmentów kodu: inicjacja licznika T1 pętla główna Technika Mikroprocesorowa Laboratorium 4 Obsługa liczników i przerwań Cel ćwiczenia: Celem ćwiczenia jest nabycie umiejętności obsługi układów czasowo-licznikowych oraz obsługi przerwań. Nabyte umiejętności

Bardziej szczegółowo

Politechnika Białostocka

Politechnika Białostocka Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: ELEKTRONIKA 2 Kod: ES1C400 026 UKŁADY UZALEŻNIEŃ CZASOWYCH Białystok 2014

Bardziej szczegółowo

Kurs Elektroniki. Część 5 - Mikrokontrolery. www.knr.meil.pw.edu.pl 1/26

Kurs Elektroniki. Część 5 - Mikrokontrolery. www.knr.meil.pw.edu.pl 1/26 Kurs Elektroniki Część 5 - Mikrokontrolery. www.knr.meil.pw.edu.pl 1/26 Mikrokontroler - autonomiczny i użyteczny system mikroprocesorowy, który do swego działania wymaga minimalnej liczby elementów dodatkowych.

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

Mikrokontroler w roli generatora PWM. Wpisany przez Administrator piątek, 06 lipca :51 -

Mikrokontroler w roli generatora PWM. Wpisany przez Administrator piątek, 06 lipca :51 - PWM - Pulse-width modulation - modulacja szerokości impulsu. Jest to jedna z metod regulacji sygnału prądowego lub napięciowego, polegająca na zmianie szerokości impulsów sygnału o stałej amplitudzie generowanego

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Współpraca z układami peryferyjnymi i urządzeniami zewnętrznymi Testowanie programowe (odpytywanie, przeglądanie) System przerwań Testowanie programowe

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo