Ćwiczenie: Badanie liczników oraz pamięci RAM

Wielkość: px
Rozpocząć pokaz od strony:

Download "Ćwiczenie: Badanie liczników oraz pamięci RAM"

Transkrypt

1 Badanie liczników i pamięci RAM 1 Ćwiczenie: Badanie liczników oraz pamięci RAM Liczniki Licznikiem nazywamy cyfrowy układ sekwencyjny służący do zliczania i zapamiętywania liczby impulsów podawanych w określonym przedziale czasu na jego wejścia zliczające. Oprócz wejścia zliczające liczniki posiadają zazwyczaj asynchroniczne wejścia ustawiające i zerujące. Główny podział liczników to liczniki synchroniczne lub asynchroniczne, liczniki dwukierunkowe lub jednokierunkowe. Liczniki synchroniczne, to takie liczniki, w których impulsy zliczane podawane są na wszystkie wejścia zegarowe przerzutników wchodzących w skład licznika. W licznikach asynchronicznych impulsy zliczane podawane są na jeden lub tylko na niektóre przerzutniki wchodzące w skład licznika. Liczniki znajdują bardzo szerokie zastosowanie w technice cyfrowej w układach do pomiaru czasu, układach zliczających zdarzenia, generatorach bardzo długich impulsów, w telekomunikacji. Są one także niezbędną częścią składową mikroprocesorów i mikrokontrolerów w takich blokach funkcyjnych jak liczniki adresów pamięci, liczniki kroków programu, liczniki stosu, timery wewnętrzne. Przykład projektowania licznika synchronicznego Założenia: Licznik binarny modulo 4, dwukierunkowy zbudowany z przerzutników D. W celu zaprojektowania licznika rysujemy graf stanów licznika. Wymóg czterech różnych stanów na wyjściu licznika wymusza użycie dwóch przerzutników. Cyfry w kółkach oznaczają stany dwóch przerzutników, odpowiednio patrząc od prawej strony Q 1 i Q 2, dla kolejnych chwil czasowych. Parametr x zmienia kierunek zliczania. Rys.1. Graf stanów licznika modulo 4 Na podstawie grafu stanów budujemy tabelę przejść licznika.

2 Badanie liczników i pamięci RAM 2 x Q 2 (t n ) Q 1 (t n ) Q 2 (t n+1 ) Q 1 (t n+1 ) Następnym krokiem jest sporządzenie tablic Karnaugh a i minimalizacja funkcji logicznych dla wejść informujących przerzutników D 1 i D 2. Ponieważ Q (t n+1 ) = D (t n ) to dla D 1 tabelę przejść wypełniamy wartościami z kolumny Q 1 (3 kolumna) dla odpowiednich kombinacji wartości Q 2 Q 1 z 4 i 5 kolumny tabeli w powiązaniu z wartością X. Do okienka o określonej kombinacji wyjściowej musimy wpisać odpowiednie wzbudzenie dla wejścia D 1 takie, by w następnym stanie czasowym uzyskać wymagane wyjście, pokazane w 5 kolumnie tablicy stanów. x Q 2 Q Następnym etapem jest minimalizacja funkcji logicznej przedstawionej za pomocą powyższej tablicy Karnaugh a. Polega ona na metodzie sklejenia funkcji np. jedynek z wykorzystaniem iloczynu logicznego. Można zauważyć, że dla wszystkich jedynek jedyną zmienną, która pozostaje stałą jest Q 1 = 0. Czyli, żeby otrzymać 1 należy zanegować Q 1, stąd _ D 1 = Q 1 Funkcja D 2 jest następująca: x Q 2 Q Funkcja D 2 jest o wiele bardziej skomplikowana. Każda z jedynek jest iloczynem logicznym wszystkich trzech zmiennych Q 1, Q 2 i x. I tak D 2 = Q 1 Q 2 x albo Q 1 Q 2 x albo Q 1 Q 2 x albo Q 1 Q 2 x słowo albo należy zastąpić znakiem sumy logicznej, co daje ostateczny zapis funkcji logicznej: D 2 = Q 1 Q 2 x + Q 1 Q 2 x + Q 1 Q 2 x + Q 1 Q 2 x Taka postać funkcji jest niewygodna do realizacji za pomocą bramek i należy ją uprościć metodą grupowania. _ D 2 = x (Q 1 Q 2 + Q 1 Q 2 ) + x (Q 1 Q 2 + Q 1 Q 2 ) Funkcja w pierwszych nawiasach to EX-NOR zmiennych Q 1 i Q 2 czyli Q 1 Q 2

3 Badanie liczników i pamięci RAM 3 Drugie nawiasy zawierają funkcję Q 1 Q 2 Po podstawieniu y = Q 1 Q 2 otrzymamy D 2 = x y + x y = x y Taka postać funkcji jest znacznie łatwiejsza do realizacji technicznej. Zaprojektowany dwukierunkowy licznik binarny modulo 4 jest kombinacją układów sekwencyjnych (przerzutników) i bramek logicznych (w tym konkretnym przypadku bramek EX-OR). Rysunek 2 przedstawia schemat dwukierunkowego binarnego licznika modulo 4 zrealizowanego na przerzutnikach D i bramkach EX-OR. Rys. 2. Schemat licznika binarnego dwukierunkowego Wejścia D 0 i D 1 to wejścia ustawiające, wejście CK to wejście synchroniczne impulsów zliczanych, wejście R to zerowanie licznika a x to wejście zmiany kierunku zliczania. Wyjścia licznika to Q 0 i Q 1. Licznik 193 W ćwiczeniu będzie badany dwukierunkowy licznik binarny typu 193. Licznik ten spełnia te same funkcje co licznik analizowany powyżej. Jedyna różnica jest taka, że licznik 193 jest licznikiem modulo 16 i posiada dwa oddzielne wejścia dla impulsów zliczanych w górę i w dół. Rysunek 3 przedstawia symbol graficzny licznika, gdzie : Rys. 3. Symbol graficzny licznika 193 A, B, C, D - wejścia danych do równoległego wpisu, Q A, Q B, Q C, Q D - wyjścia licznika P+ - wejście zliczające w górę P - wejście zliczające w dół C+ - wyjście przeniesienia C - wyjście pożyczki ZER. - zerowanie licznika WPIS - wejście wpisujące

4 Badanie liczników i pamięci RAM 4 Na rysunku 4 przedstawione zostały przebiegi czasowe sygnałów sterujących, wejściowych i wyjściowych licznika. P + P Rys. 4. Przebiegi czasowe sygnałów sterujących, wejściowych i wyjściowych licznika Na wejściach ustawiających licznik D, C, B, A są doprowadzone odpowiednio sygnały 1, 1, 0, 1 co odpowiada liczbie 13 w kodzie dziesiętnym lub D w kodzie heksadecymalnym. Przerywane linie poziome na wykresie oznaczają dowolny stan wejść ustawiających lub wyjść. W pierwszej chwili czasowej pojawia się impuls zerujący. Jego narastające zbocze powoduje wyzerowanie wszystkich wyjść Q. Następnym w kolejności sygnałem sterującym jest sygnał wpisu. Jego opadające zbocze powoduje jednoczesne przepisanie danych z wejść na wyjścia licznika. Liczba lub D 16 zapisana binarnie stanami logicznymi wyjść Q pojawia się na wyjściu licznika. Na wejściu P+ pojawia się 5 kolejnych impulsów, które zwiększają stan licznika o 1 w momencie narastającego zbocza każdego impulsu. W momencie opadania drugiego impulsu na wyjściu przeniesienia C+ pokazuje się stan niski, w którym wyjście pozostaje do czasu pojawienia się narastającego zbocza trzeciego impulsu na wejściu P+. W tym momencie licznik zostaje wyzerowany. W tej samej chwili czasowej, jeśli wyjście C+ będzie dołączone do wejścia P+ następnego licznika, stan tego licznika zostanie zwiększony o 1. Następne impulsy 4 i 5 powodują zwiększenie stanu licznika poprzez wartość 1 do 2. W analogiczny sposób funkcjonuje zliczanie w dół, co jest pokazane na tym wykresie. Wszystkie te stany licznika będą praktycznie przebadane w trakcie wykonywania ćwiczenia. Licznik 192 jest licznikiem dziesiętnym o kodzie BCD (Binary Coded Decimal) o właściwościach identycznych jak licznik 193.

5 Badanie liczników i pamięci RAM 5 Dekodery / demultipleksery Dekoderem nazywamy układ kombinacyjny o n liczbie wejść i m liczbie wyjść. Sygnałem wejściowym dekodera jest kod dwójkowy (pierścieniowy). Istnieją dekodery pełne, dla których 2 n = m. Jeśli 2 n > m to dekoder taki nazywamy niepełnym. Przykładem dekodera niepełnego jest dekoder 1 z 10. Posiada on 4 wejścia dla kodu BCD oraz 10 wyjść. Dla każdej liczby od 0 do 9 wyróżnione od innych jest tylko jedno wyjście, dla każdej liczby inne. Taki dekoder może na przykład współpracować ze specjalnym wyświetlaczem, w którym każda cyfra dziesiętna jest wyświetlana jako całość. W ćwiczeniu przedmiotem badania będzie dekoder pełny - negacja 1 z 8 -typu 138. Dekodery mogą być łączone wielopoziomowo i do tego służą specjalne wejścia sterujące. We współczesnej technice cyfrowej dekodery mają zastosowanie w układach zarządzania pamięciami zewnętrznymi systemów mikroprocesorowych, urządzeniami wejść / wyjść, wyświetlaczami matrycowymi (realizowane w ramach ćwiczenia). Przykład projektowania dekodera Założenia: Dekoder pełny, negacja 1 z 4, zbudowany z bramek NAND. W celu zaprojektowania dekodera należy najpierw sporządzić tablicę stanów. WEJŚCIA WYJŚCIA A B Y 0 Y 1 Y 2 Y Na podstawie powyższej tabeli sporządzamy tablicę Karnaugh a dla każdej funkcji Y. Powyższe tablice pokazują cztery funkcje Y, które zostaną poddane minimalizacji.

6 Badanie liczników i pamięci RAM 6 Po minimalizacji funkcji (sklejaniu jedynek) mamy kolejno: Y 0 = A + B = A B Y 1 = A + B = A B Y 2 = A + B = A B Y 3 = A + B = A B postać iloczynowa funkcji wynika z prawa de Morgana Postać iloczynów funkcji jest łatwiejsza do implementacji na bramkach NAND. Implementacja funkcji dekodera pełnego - negacja 1 z 4 za pomocą bramek NAND przedstawiona została na rysunku 5. Rys. 5. Implementacja bramek NAND dla funkcji negacja 1 z 4 Dekoder 138 Na rysunku 6 przedstawiony został symbol graficzny dekodera typu 138, a tablica 1 jest tablicą prawdy tego dekodera. Rys.6. Symbol graficzny dekodera typu 138

7 Badanie liczników i pamięci RAM 7 Tablica 1. Tabela prawdy dekodera 138 Wejścia kontrolne Wejścia selekcjonujące Wyjścia G 0 G 1 G 2 A B C Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y x x x x x x Znak x oznacza stan dowolny wejścia selekcjonującego. Wejścia selekcjonujące A, B, i C zmieniają stan wyjścia tylko wtedy gdy G 0 = G 1 = 0, a G 2 = 1. Dekoder ten jest układem bardziej złożonym niż projektowany powyżej. W ćwiczeniu dekoder 138 jest układem sterującym wyświetlacz matrycowy, który zbudowany jest z 64 diod LED. Każde wyjście dekodera podłączone jest poprzez opornik do bazy tranzystora PNP pracującego w układzie wtórnika emiterowego, jak to pokazano na rysunku 7. Stan niski na wyjściu dekodera powoduje doprowadzenie poprzez emiter tranzystora dodatnie napięcie na anody ośmiu diód LED, które tworzą jedną z ośmiu kolumn wyświetlacza. Diody LED połączone poprzez rezystor R do masy (efekt działania danych z pamięci RAM poprzez układ sterujący) zaświecą się. Rys. 7. Układ pracy dekodera 138

8 Badanie liczników i pamięci RAM 8 Pamięci RAM Pamięci RAM (Random Access Memory) są pamięciami, które umożliwiają zapisywanie i odczytywanie danych. Podstawowy podział pamięci RAM to pamięci statyczne i dynamiczne. W pamięciach statycznych zapisana dana zapamiętana jest tak długo, jak długo pamięć jest zasilana. W pamięciach dynamicznych zawartość pamięci musi być odświeżana by nie uległa zatarciu. Odświeżanie polega na generowaniu przez układy pomocnicze adresów przebiegających cały obszar adresowania pamięci. Proces ten odbywa się w momentach czasowych kiedy procesor nie korzysta z danych zawartych w pamięci zewnętrznej. Podstawowymi parametrami pamięci są pojemność i czas dostępu. Komórka pamięci statycznej RAM zajmuje powierzchniowo (powierzchnia podłoża warstwy półprzewodnikowej) o wiele większy obszar niż komórka pamięci dynamicznej. Dlatego też pojemności pamięci statycznych sięgają kilkudziesięciu kb. Jest to jeden z podstawowych parametrów pamięci. Drugim bardzo ważnym parametrem pamięci jest czas dostępu. Jest to czas, w którym dana zostanie zapisana w pamięci lub odczytana z pamięci. Czas ten sięga kilkudziesięciu nanosekund. Rysunek 8 pokazuje symbol graficzny typowej pamięci statycznej o pojemności 2kB (2048 kb) użytej w ćwiczeniu. Pamięć ta posiada 11 wejść adresowych A, 8 wejść/wyjść danych D wejście zapisujące WR, wyjście odczytujące RD oraz wejście zezwalające CE. Wejście/wyjście D oznacza, że zewnętrzna szyna danych podłączona jest fizycznie do wejść i wyjść komórek pamięciowych. Za przyłączanie wyjścia pamięci do szyny danych odpowiedzialny jest wewnętrzny układ sterowania, którego sygnałami sterującymi są sygnały CE, WR, RD. Rys.8. Pamięć RAM 2 kb typu 6116: symbol graficzny i schemat funkcjonalny Pamięć zawiera 2048 x 8 = (słowo ośmiobitowe) komórki pamięciowe zbudowane ze znacznie uproszczonych przerzutników bistabilnych. W czasie procesu zapisu sygnał WR musi być fizycznie połączony z odpowiednim wejściem przerzutnika, a w zasadzie z wejściami ośmiu przerzutników. Odpowiedzialny jest za to dekoder adresu. Sygnał RD związany jest podobnie jak i sygnał CE z układem wyjściowym pamięci. Sekwencja procesu zapisu / odczytu jest następująca. Wystawienie adresu A, ustalenie danych do zapisu D i na końcu impuls zapisu WR. Pozostawienie wejścia CE w stanie wysokim zablokuje wpis do pamięci. Przy odczycie sekwencja jest podobna: ustawienie adresu A, sygnał odczytu RD, dane D. Stan wysoki CE odcina wyjście pamięci od wewnętrznej magistrali danych poprzez bramki trójstanowe. Czas dostępu do

9 Badanie liczników i pamięci RAM 9 pamięci zależy głównie od czasu zdekodowania adresu oraz czasu trójstanowych. przełączenia bramek Bramki trójstanowe Bramki trójstanowe to typowe bramki logiczne, które oprócz dwóch podstawowych stanów wyjściowych 0 lub 1 posiadają trzeci stan wyjściowy - stan wysokiej impedancji. Stan ten, sterowany elektronicznie umożliwia dołączanie do wspólnej magistrali wielu wyjść i ich bezkolizyjną pracę. W ćwiczeniu bramki takie zostały użyte w układach odczytu adresu/danych. Na rysunku 9 pokazany jest bardzo uproszczony schemat bramek trójstanowych buforujących funkcje Y 1 i Y 2. Funkcje te są dołączone poprzez bramki trójstanowe do wspólnej magistrali M. Rys. 9.Uproszczony schemat bramek trójstanowych Sygnał kontrolny C przełącza na przemian obie funkcje. Stan niski na bazach tranzystorów PNP wtóruje napięcie kolektora na emiterze. Stan wysoki na bazie powoduje przejście złącza emiter kolektor w stan wysokiej impedancji czyli tym samym odcina jedną z funkcji Y od magistrali M. Gdyby układem kontrolnym był dekoder 138 możliwy by był stan odcięcia wszystkich funkcji Y od magistrali M. Dekoder adresu Załóżmy, że mamy pamięć RAM o pojemności 512 bitów (64 bajty). Podstawową komórką pamięci jest na przykład znany już dobrze przerzutnik D, o uproszczonej budowie tzn. bez wejść asynchronicznych R i S oraz wyjścia negującego. Przerzutniki te zorganizowane są po osiem dla jednego adresu (słowo ośmiobitowe). Dla wygenerowania 64 różnych adresów potrzeba sześciobitowego słowa adresowego 2 6 = 64. Ponieważ operujemy ośmiobitowym słowem danych, to wpis i odczyt odbywa się równolegle dla ośmiu rejestrów. Dlatego też przedmiotem dalszego rozważania będą 64 ośmiobitowe rejestry zorganizowane w 8 grup po 8 rejestrów. Rejestry te zbudowane są z ośmiu przerzutników których wejścia zegarowe połączone są równolegle. Wyjście każdego przerzutnika posiada bramkę trójstanową, której sterowanie połączone jest równolegle z innymi bramkami. Zadaniem dekodera pamięci jest doprowadzenie sygnału zapisu/odczytu WR/RD odpowiednio do wejść zegarowych rejestrów lub do zespołu bramek trójstanowych tych rejestrów. Rysunek 10 pokazuje schemat ideowy takiego dekodera i układu kontroli zapisu i odczytu. Zastosowanie podziału na kolumny i wiersze dla wewnętrznych rejestrów upraszcza układ dekodera adresów. Młodsze adresy A 0, A 1 i A 2 wybierają jeden z ośmiu rejestrów w wierszu, natomiast adresy A 3, A 4 i A 5 wybierają jedną z ośmiu kolumn. Rozwiązanie takie pozwala na zwiększenie skali integracji (scalenia), czyli budowanie pamięci o większych pojemnościach.

10 Badanie liczników i pamięci RAM 10 Rys. 10. Schemat dekodera pamięci oraz układu kontroli zapisu i odczytu Wykonanie ćwiczenia Stanowisko wyposażone zostało w 18 przycisków kontrolnych i 3 zwory oraz punkty pomiarowe i połączeniowe. Wszystkie te punkty są opisane na płycie czołowej. Ustawianie adresu i danych do wpisu do pamięci RAM odbywa się za pomocą przycisków. Wszystkie stany licznika adresu oraz zawartości komórek pamięci RAM monitorowane są w kodzie binarnym za pomocą diod LED. Kod binarny jest jednocześnie tłumaczony na kod heksadecymalny i wyświetlany za pomocą dwóch wyświetlaczy siedmiosegmentowych. Wyprowadzone punkty łączeniowe służą do zmiany konfiguracji układu. Zwory służą do blokady dekodera 138 i pamięci RAM. 1. Badanie liczników Badanie wpisu równoległego Zasilić stanowisko Przełączyć panel odczytowy na ODCZYT DANYCH DO ZAPISU Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE. Wyzerować liczniki za pomocą przycisku RESET LICZNIKÓW Nacisnąć po kolei przyciski WPIS ADRESU Przełączyć panel odczytu na ODCZYT ADRESU. Odczytać wskazywany adres Powtórzyć punkty i ustawić dowolne kombinacje D 0 - D 3 i D 4 - D 7

11 Badanie liczników i pamięci RAM Badanie licznika Połączyć za pomocą kabli wyjście PRZENIESIENIE licznika 74193L z wejściem zliczania W GÓRĘ licznika 74193H. Wejście zliczania W GÓRĘ licznika 74193L połączyć w wyjściem WY monowibratora Przełączyć panel odczytowy na ODCZYT DANYCH DO ZAPISU Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE. Wyzerować liczniki za pomocą przycisku RESET LICZNIKÓW Ustawić na młodszym półbajcie D 0 - D 3 np. liczbę 1011 ( D ) patrz rys Nacisnąć po kolei przyciski WPIS ADRESU (obu liczników) Przełączyć panel odczytu na ODCZYT ADRESU Przyciskiem MONOWIBRATOR generować kolejne impulsy notując stany wyjść obu liczników. Na podstawie danych sporządzić wykres stanów licznika. Dane wpisać do tabeli Po impulsie przeniesienia nacisnąć jeszcze dwa razy przycisk MONOWIBRATOR. Przełożyć kabel z wyjścia monowibratora na wyjście dzielnika częstotliwości 1/16. Wejście dzielnika częstotliwości połączyć z wyjściem WY generatora Pokrętłem generatora ustawić tak częstotliwość, aby zmiana wartości na liczniku 74193L następowała co 2 s. Po kolejnym impulsie przeniesienia (wyzerowaniu się licznika) przełożyć kabel z wejścia W GÓRĘ na wejście W DÓŁ licznika 74193L Przełożyć odpowiednio kabel z wyjścia przeniesienia na wyjście pożyczki oraz z wejścia W GÓRĘ na wejście W DÓŁ licznika 74193H. Zanotować kolejne stany wyjść obu liczników. Dane wpisać do tabeli 2. Tablica 2. Stany wyjść liczników przy zliczaniu w dół i w górę Kierunek Przen. Poż. A 5 A 4 A 3 A 2 A 1 A 0 W górę W dół Na podstawie danych z tabeli sporządzić wykres stanów licznika.

12 Badanie liczników i pamięci RAM 12 Rys. 11. Wykres stanów liczników 1.3. Badanie licznika 193 jako nastawnego podzielnika Rozłączyć wcześniejsze połączenia. Połączyć wyjście PRZENIESIENIE z wejściem WPIS ADRESU układu 74193L oraz z wejściem W GÓRĘ układu 74193H Połączyć wyjście MONOWIBRATOR z wejściem W GÓRĘ układu 74193L Przełączyć panel odczytowy na ODCZYT DANYCH DO ZAPISU Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE. Wyzerować liczniki za pomocą przycisku RESET LICZNIKÓW Ustawić na młodszym półbajcie D 0 - D 3 np. liczbę 1100 ( C ) Nacisnąć po kolei przyciski WPIS ADRESU Przełączyć panel odczytu na ODCZYT ADRESU Naciskać przycisk MONOWIBRATOR obserwując stany wyjść obydwu liczników. Obserwacje te opisać we wnioskach. 2. Badanie dekodera Wejścia kontrolne dekoderów G 1,G 2 dołączone do gniazda A 7. Połączyć za pomocą taśmy dwa stanowiska. Odłączyć przewody od liczników Przełączyć selektor wyświetlacza na ODCZYT DANYCH Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE. Wyzerować liczniki za po mocą przycisku RESET LICZNIKÓW Ustawić na młodszym półbajcie np. liczbę 0010 (2) Ustawić częstotliwość generatora na minimum Połączyć wyjście GENERATOR z wejściem W GÓRĘ licznika 74193H Zaobserwować kierunek przemieszczania się świecącego punktu.

13 Badanie liczników i pamięci RAM Zamienić połączenia wejścia W GÓRĘ na wejście W DÓŁ i zaobserwować kierunek przemieszczania się świecącego punktu Powtórzyć punkty od 2.6 do 2.8 przy polu odczytu przełączonym na ODCZYT ADRESU Wyciągnąć wnioski z uwzględnieniem schematu na rysunku Przełączyć na chwilę wejście dekodera G 1 do masy, a następnie G 2 do masy. Wyciągnąć wnioski z uwzględnieniem tabeli Badanie pamięci RAM 3.1. Zapis i odczyt pamięci RAM Odłączyć przewody od licznika 74193H. Wyzerować liczniki za pomocą przycisku RESET LICZNIKÓW Przełączyć blok odczytu na ODCZYT DANYCH Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE Przyciskami bajty D 0 - D 3 i D 4 - D 7 ustawić adres, pod który będzie zapisana dana Przycisnąć oba przyciski WPIS ADRESU Przełączyć blok odczytu na ODCZYT ADRESU. Sprawdzić, czy na wyświetlaczu podany jest ustawiany adres, jeśli nie to ponownie wykonać czynności od punktu Przełączyć blok odczytu na ODCZYT DANYCH. Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE Przyciskami bajty D 0 - D 3 i D 4 - D 7 ustawić daną do zapisu Przycisnąć przycisk zapis WR (przy pamięci RAM) Przełączyć blok odczytu na ODCZYT Z PAMIĘCI RAM Przycisnąć przycisk ODCZYT RD Wykonać ponownie czynności od w celu wykonania zapisu i odczytu z pamięci RAM dla innych ustawień danych i adresu Wykorzystanie pamięci RAM do sterowania matrycą diód LED Odłączyć wejście sterujące dekodera G 2 od gniazda A Ustawić pokrętło GENERATOR na minimum Dane tworzące dowolny zaprojektowany znak np. literę zapisać najpierw w poniższej tablicy. Poniższa tabela pokazuje mapę pamięci wyświetlacza matrycowego oraz zawartość ośmiu lokacji adresowych. Jedynka logiczna odpowiada zapalonej diodzie LED, a 0 zgaszonej. Bajt danych D 0 -D 7 reprezentuje kolumnę wyświetlacza odpowiednio D 0 najwyższą diodę w kolumnie a bit D 7 najniższą diodę w kolumnie. Adres aktywnej kolumny wyświetlacza jest ustawiany za pomocą dekodera 138 sterowanego z licznika 74193H. Kolumna pierwsza od lewej wyświetla dane zawarte w komórce o adresie 00H, kolumna druga wyświetla dane zawarte w komórce o adresie 10H, kolumna trzecia wyświetla dane zawarte w komórce o adresie 20H itd.

14 DANE 00H 10H 20H 30H 40H 50H 60H 70H Badanie liczników i pamięci RAM 14 Ze względu na konstrukcję wyświetlacza matrycowego zawsze różnica adresów dwóch sąsiednich kolumn wynosi 10H. Na wyświetlaczu można jednocześnie wyświetlić maksymalnie 2 znaki o wymiarach 8x8. Starszy półbajt adresu jest zawsze inkrementowany lub dekrementowany automatycznie z prędkością regulowaną za pomocą potencjometru co umożliwia podgląd poszczególnych kolumn wyświetlacza. Młodszy półbajt adresu jest sterowany ręcznie i pozwala uzyskanie efektu animacji matrycy wyświetlacza. Wstawienie jedynek albo zer w odpowiednie kombinacje komórek tabeli pozwala zaprojektować dowolny znak wyświetlany na matrycy 8x8. Tablica 2. Tabela adresów pierwszych ośmiu kolumn matrycy diód LED ADRES KOLUMNY D0 D1 D2 D3 D4 D5 D6 D Zaprojektować dowolny znak Metodą opisaną w punktach do wpisać do ośmiu lokacji pamięci dane tworzące zaprojektowany znak Połączyć wejście W GÓRĘ licznika 74193H z gniazdem DO 74193H (obok gniazda i przycisku ODCZYT RD) Przełączyć blok odczytu na ODCZYT DANYCH Wyzerować obydwa półbajty D 0 - D 3 i D 4 - D 7 za pomocą przycisków ZEROWANIE Przycisnąć oba przyciski WPIS ADRESU Przełączyć blok odczytu na ODCZYT Z PAMIĘCI RAM Przyciskając przycisk ODCZYT RD obserwować zapalone kombinacje diód na wyświetlaczu Połączyć wyjście GENERATOR z gniazdem ODCZYT RD W celu wyświetlania dwóch znaków na wyświetlaczu należy wpisać do kolejnych lokacji pamięci dane tworzące drugi znak i połączyć wejście G 2 z gniazdem A Wyciągnąć wnioski.

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0

Tab. 1 Tab. 2 t t+1 Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 Synteza liczników synchronicznych Załóżmy, że chcemy zaprojektować licznik synchroniczny o następującej sekwencji: 0 1 2 3 6 5 4 [0 sekwencja jest powtarzana] Ponieważ licznik ma 7 stanów, więc do ich

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia Poznanie zasad działania układów koderów. Budowanie koderów z podstawowych bramek logicznych i układu scalonego Czytanie schematów elektronicznych,

Bardziej szczegółowo

4. Karta modułu Slave

4. Karta modułu Slave sygnały na magistralę. Można wyróżnić trzy typy układów scalonych takie jak bramki o otwartym kolektorze wyjściowym, bramki trójstanowe i bramki o przeciwsobnym wzmacniaczu wyjściowym. Obciążalność prądową

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Podstawy Informatyki Elementarne podzespoły komputera

Podstawy Informatyki Elementarne podzespoły komputera Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Reprezentacja informacji Podstawowe bramki logiczne 2 Przerzutniki Przerzutnik SR Rejestry Liczniki 3 Magistrala Sygnały

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW Wydział Fizyki UW Pracownia fizyczna i elektroniczna (w tym komputerowa) dla Inżynierii Nanostruktur (1100-1INZ27) oraz Energetyki i Chemii Jądrowej (1100-1ENFIZELEK2) Ćwiczenie 2 Przerzutniki Streszczenie

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Instrukcja obsługi elektronicznego licznika typu 524. Model 524. Licznik sumujący i wskaźnik pozycji typu Opis. 1. Opis

Instrukcja obsługi elektronicznego licznika typu 524. Model 524. Licznik sumujący i wskaźnik pozycji typu Opis. 1. Opis Instrukcja obsługi elektronicznego licznika typu 524 Model 524 Model 524 jest urządzeniem wielozadaniowym i zależnie od zaprogramowanej funkcji podstawowej urządzenie pracuje jako: licznik sumujący i wskaźnik

Bardziej szczegółowo

LABORATORIUM. Technika Cyfrowa. Badanie Bramek Logicznych

LABORATORIUM. Technika Cyfrowa. Badanie Bramek Logicznych WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM Technika Cyfrowa Badanie Bramek Logicznych Opracował: mgr inż. Andrzej Biedka 1 BADANIE FUNKCJI LOGICZNYCH 1.1 Korzystając

Bardziej szczegółowo

1.2 Funktory z otwartym kolektorem (O.C)

1.2 Funktory z otwartym kolektorem (O.C) Wydział EAIiIB Laboratorium Katedra Metrologii i Elektroniki Podstaw Elektroniki Cyfrowej Wykonał zespół w składzie (nazwiska i imiona): Ćw. 4. Funktory TTL cz.2 Data wykonania: Grupa (godz.): Dzień tygodnia:

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

Asynchroniczne statyczne układy sekwencyjne

Asynchroniczne statyczne układy sekwencyjne Asynchroniczne statyczne układy sekwencyjne Układem sekwencyjnym nazywany jest układ przełączający, posiadający przynajmniej jeden taki stan wejścia, któremu odpowiadają, zależnie od sygnałów wejściowych

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi.

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi. 72 WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. ą najprostszymi układami pamięciowymi. PZEZUTNIK WY zapamietanie skasowanie Przerzutmik zapamiętuje zmianę

Bardziej szczegółowo

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Ćwiczenie 27C Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Cel ćwiczenia Poznanie budowy i zasad działania oraz właściwości układów synchronicznych, aby zapewnić podstawy

Bardziej szczegółowo

Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia

Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia Zapoznanie się z techniką połączenia za pośrednictwem interfejsu. Zbudowanie

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. PTC 2015/2016 Magistrale W układzie cyfrowym występuje bank rejestrów do przechowywania

Bardziej szczegółowo

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232.

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Opracowanie: Andrzej Grodzki Do wysyłania znaków ASCII zastosujemy dostępny w

Bardziej szczegółowo

Sekwencyjne bloki funkcjonalne

Sekwencyjne bloki funkcjonalne ekwencyjne bloki funkcjonalne Układy sekwencyjne bloki funkcjonalne 2/28 ejestry - układy do przechowywania informacji, charakteryzujące się róŝnymi metodami jej zapisu lub odczytu a) b) we wy we... we

Bardziej szczegółowo

Technika Cyfrowa. Badanie pamięci

Technika Cyfrowa. Badanie pamięci LABORATORIUM Technika Cyfrowa Badanie pamięci Opracował: mgr inż. Andrzej Biedka CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się studentów z budową i zasadą działania scalonych liczników asynchronicznych

Bardziej szczegółowo

Wydział Fizyki UW CC=5V 4A 4B 4Y 3A 3B 3Y

Wydział Fizyki UW CC=5V 4A 4B 4Y 3A 3B 3Y Wydział Fizyki UW Pracownia fizyczna i elektroniczna (w tym komputerowa) dla Inżynierii Nanostruktur (00-INZ7) oraz Energetyki i hemii Jądrowej (00-ENPRFIZELEK) Ćwiczenie D Projekt układu cyfrowego Streszczenie

Bardziej szczegółowo

Instrukcja do ćwiczenia : Matryca komutacyjna

Instrukcja do ćwiczenia : Matryca komutacyjna Instrukcja do ćwiczenia : Matryca komutacyjna 1. Wstęp Każdy kanał w systemach ze zwielokrotnieniem czasowym jest jednocześnie określany przez swoją współrzędną czasową T i współrzędną przestrzenną S.

Bardziej szczegółowo

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2

WSTĘP. Budowa bramki NAND TTL, ch-ka przełączania, schemat wewnętrzny, działanie 2 WSTĘP O liczbie elementów użytych do budowy jakiegoś urządzenia elektronicznego, a więc i o możliwości obniżenia jego ceny, decyduje dzisiaj liczba zastosowanych w nim układów scalonych. Najstarszą rodziną

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Układy cyfrowe - bramki logiczne i przerzutniki

Podstawy Elektroniki dla Elektrotechniki. Układy cyfrowe - bramki logiczne i przerzutniki AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Układy cyfrowe - bramki logiczne i przerzutniki Ćwiczenie 6a, 6b Instrukcja do ćwiczeń symulacyjnych (6a) Instrukcja do ćwiczeń sprzętowych

Bardziej szczegółowo

Układy Logiczne i Cyfrowe

Układy Logiczne i Cyfrowe Układy Logiczne i Cyfrowe Wykład dla studentów III roku Wydziału Elektrycznego mgr inż. Grzegorz Lisowski Instytut Automatyki Podział układów cyfrowych elementy logiczne bloki funkcjonalne zespoły funkcjonalne

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM. AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI ELWIS Rev.1.0 1. Wprowadzenie Celem

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

Synteza strukturalna automatów Moore'a i Mealy

Synteza strukturalna automatów Moore'a i Mealy Synteza strukturalna automatów Moore'a i Mealy Formalna definicja automatu: A = < Z, Q, Y, Φ, Ψ, q 0 > Z alfabet wejściowy Q zbiór stanów wewnętrznych Y alfabet wyjściowy Φ funkcja przejść q(t+1) = Φ (q(t),

Bardziej szczegółowo

LABORATORIUM ENERGOOSZCZĘDNEGO BUDYNKU

LABORATORIUM ENERGOOSZCZĘDNEGO BUDYNKU LABORATORIUM ENERGOOSZCZĘDNEGO BUDYNKU Ćwiczenie 9 STEROWANIE ROLETAMI POPRZEZ TEBIS TS. WYKORZYSTANIE FUNKCJI WIELOKROTNEGO ŁĄCZENIA. 2 1. Cel ćwiczenia. Celem ćwiczenia jest nauczenie przyszłego użytkownika

Bardziej szczegółowo

Ćwiczenie 31 Temat: Analogowe układy multiplekserów i demultiplekserów. Układ jednostki arytmetyczno-logicznej (ALU).

Ćwiczenie 31 Temat: Analogowe układy multiplekserów i demultiplekserów. Układ jednostki arytmetyczno-logicznej (ALU). Ćwiczenie 31 Temat: Analogowe układy multiplekserów i demultiplekserów. Układ jednostki arytmetyczno-logicznej (ALU). Cel ćwiczenia Poznanie własności analogowych multiplekserów demultiplekserów. Zmierzenie

Bardziej szczegółowo

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem 2-3-29 Przerzutniki Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem (dotychczas mówiliśmy o układach logicznych kombinatorycznych - stan wyjść określony jednoznacznie przez

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1. Ćwiczenie 8 Liczniki zliczające, kody BCD, 8421, 2421 Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i 2421. Wstęp teoretyczny. Przerzutniki

Bardziej szczegółowo

1. Cel ćwiczenia. 2. Podłączenia urządzeń zewnętrznych w sterowniku VersaMax Micro

1. Cel ćwiczenia. 2. Podłączenia urządzeń zewnętrznych w sterowniku VersaMax Micro 1. Cel ćwiczenia Celem ćwiczenia jest zaprojektowanie sterowania układem pozycjonowania z wykorzystaniem sterownika VersaMax Micro oraz silnika krokowego. Do algorytmu pozycjonowania wykorzystać licznik

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych.

b) bc a Rys. 1. Tablice Karnaugha dla funkcji o: a) n=2, b) n=3 i c) n=4 zmiennych. DODATEK: FUNKCJE LOGICZNE CD. 1 FUNKCJE LOGICZNE 1. Tablice Karnaugha Do reprezentacji funkcji boolowskiej n-zmiennych można wykorzystać tablicę prawdy o 2 n wierszach lub np. tablice Karnaugha. Tablica

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 4 BADANIE BRAMEK LOGICZNYCH A. Cel ćwiczenia. - Poznanie zasad logiki binarnej. Prawa algebry Boole

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów służy do przechowywania danych. Wybór źródła

Bardziej szczegółowo

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 Układ PCF 8583 jest pobierającą małą moc, 2048 bitową statyczną pamięcią CMOS RAM o organizacji 256 x 8 bitów. Adresy i dane są przesyłane szeregowo

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

(57) Tester dynamiczny współpracujący z jednej strony (13) B1 (12) OPIS PATENTOWY (19) PL (11) PL B1. (54) Tester dynamiczny

(57) Tester dynamiczny współpracujący z jednej strony (13) B1 (12) OPIS PATENTOWY (19) PL (11) PL B1. (54) Tester dynamiczny RZECZPOSPOLITA POLSKA (12) OPIS PATENTOWY (19) PL (11) 166151 (13) B1 Urząd Patentowy Rzeczypospolitej Polskiej (21) Numer zgłoszenia: 2 9 0 5 8 3 (22) Data zgłoszenia: 06.06.1991 (51) IntCl5: G01R 31/28

Bardziej szczegółowo

CHARAKTERYSTYKI BRAMEK CYFROWYCH TTL

CHARAKTERYSTYKI BRAMEK CYFROWYCH TTL CHARAKTERYSTYKI BRAMEK CYFROWYCH TTL. CEL ĆWICZENIA Celem ćwiczenia jest poznanie zasad działania, budowy i właściwości podstawowych funktorów logicznych wykonywanych w jednej z najbardziej rozpowszechnionych

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY Cel ćwiczenia Zapoznanie się z budową i zasadą działania rejestrów cyfrowych wykonanych w ramach TTL. Zestawienie przyrządów i połączenie rejestru by otrzymać

Bardziej szczegółowo

Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia

Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia Ćwiczenie 27 Temat: Układy komparatorów oraz układy sumujące i odejmujące i układy sumatorów połówkowych i pełnych. Cel ćwiczenia Poznanie zasad budowy działania komparatorów cyfrowych. Konstruowanie komparatorów

Bardziej szczegółowo

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze.

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze. Lista zadań do poszczególnych tematów ćwiczeń. MIERNICTWO ELEKTRYCZNE I ELEKTRONICZNE Studia stacjonarne I stopnia, rok II, 2010/2011 Prowadzący wykład: Prof. dr hab. inż. Edward Layer ćw. 15h Tematyka

Bardziej szczegółowo

Ćwiczenie 26. Temat: Układ z bramkami NAND i bramki AOI..

Ćwiczenie 26. Temat: Układ z bramkami NAND i bramki AOI.. Temat: Układ z bramkami NAND i bramki AOI.. Ćwiczenie 26 Cel ćwiczenia Zapoznanie się ze sposobami konstruowania z bramek NAND różnych bramek logicznych. Konstruowanie bramek NOT, AND i OR z bramek NAND.

Bardziej szczegółowo

WSTĘP DO ELEKTRONIKI

WSTĘP DO ELEKTRONIKI WSTĘP DO ELEKTRONIKI Część VII Układy cyfrowe Janusz Brzychczyk IF UJ Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

BADANIE UKŁADÓW CYFROWYCH. CEL: Celem ćwiczenia jest poznanie właściwości statycznych układów cyfrowych serii TTL. PRZEBIEG ĆWICZENIA

BADANIE UKŁADÓW CYFROWYCH. CEL: Celem ćwiczenia jest poznanie właściwości statycznych układów cyfrowych serii TTL. PRZEBIEG ĆWICZENIA BADANIE UKŁADÓW CYFROWYCH CEL: Celem ćwiczenia jest poznanie właściwości statycznych układów cyfrowych serii TTL. PRZEBIEG ĆWICZENIA 1. OGLĘDZINY Dokonać oględzin badanego układu cyfrowego określając jego:

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

Laboratorium Techniki Cyfrowej i Mikroprocesorowej

Laboratorium Techniki Cyfrowej i Mikroprocesorowej Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Energoelektroniki i Maszyn Elektrycznych Laboratorium Techniki Cyfrowej i Mikroprocesorowej Liczniki i dzielniki częstotliwości Ćwiczenie

Bardziej szczegółowo

CONVERT SP. Z O.O. MK-30-DC M30300 LICZNIK ENERGII PRĄDU STAŁEGO INSTRUKCJA UŻYTKOWNIKA. CIRCUTOR S.A. CONVERT Sp. z o.o.

CONVERT SP. Z O.O. MK-30-DC M30300 LICZNIK ENERGII PRĄDU STAŁEGO INSTRUKCJA UŻYTKOWNIKA. CIRCUTOR S.A. CONVERT Sp. z o.o. CONVERT SP. Z O.O. MK-30-DC M30300 LICZNIK ENERGII PRĄDU STAŁEGO INSTRUKCJA UŻYTKOWNIKA CIRCUTOR S.A. CONVERT Sp. z o.o. 2013-07-12 Zawartość Wprowadzenie... 3 Bezpieczeństwo... 3 Schemat połączeń... 4

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo