ELECTRIC IMPULSE DURATION MEASUREMENT USING VHDL LANGUAGE

Wielkość: px
Rozpocząć pokaz od strony:

Download "ELECTRIC IMPULSE DURATION MEASUREMENT USING VHDL LANGUAGE"

Transkrypt

1 Tomasz DUMAŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy ELECTRIC IMPULSE DURATION MEASUREMENT USING VHDL LANGUAGE ZASTOSOWANIE JĘZYKA VHDL DO POMIARU CZASU TRWANIA IMPULSU ELEKTRYCZNEGO. Keywords: VHDL, measurement, electric impulse, programmable device, duration Słowa kluczowe: VHDL, pomiar, impuls elektryczny, układ programowalny, czas trwania 1. KONCEPCJA UKŁADU POMIAROWEGO. Pomiar czasu trwania impulsu prostokątnego moŝna przeprowadzić między innymi wykorzystując liczniki, układy mikroprocesorowe, mikrokontrolery lub stosując układy programowalne. W mojej pracy postaram się przedstawić, w jaki sposób korzystając z układów programowalnych(fpga) skonstruować układ spełniający załoŝenia tematu. Na początek postaram się przedstawić algorytm, którym będę się kierował projektując układ. W tym celu sporządziłem schemat blokowy przedstawiony na poniŝszym rysunku. Rys. 1.1 Schemat blokowy układu pomiarowego.

2 1.1. ZASADA DZIAŁANIA 1. Podanie mierzonego impulsu: a. na blok sterujący b. na bramkę elektroniczną 2. Podanie sygnału z generatora wzorcowego na bramkę elektroniczną. 3. Bramka elektroniczna porównuje sygnały na nią podane. a. gdy impuls mierzony jest w stanie wysokim to bramka przepuszcza sygnały z generatora wzorcowego b. gdy impuls mierzony jest w stanie niskim to bramka na wyjściu przyjmuje stan niski (czyli nie przepuszcza sygnału z generatora) 4. Gdy bramka przepuszcza sygnał z generatora to zaczyna swą prace układ zaliczający. 5. Blok sterowania(umoŝliwia pomiar wielu impulsów występujących po sobie): a. kontrola zerowania licznika b. kontrola zatrzasku 6. Wyświetlenie wyniku pomiaru na wyświetlaczu ALGORYTM UKŁADU ZLICZAJĄCEGO IMPULSY. Rys Algorytm układu.

3 Wynikiem działania kolejnych bloków powyŝszego schematu jest układ wykresów przedstawiający role i funkcje podanych segmentów. Rys Wykresy zaleŝności między blokami układu pomiarowego. Działanie układu polega na zliczaniu impulsów podawanych z generatora wzorcowego. Znając okres generatora moŝemy obliczyć czas trwania impulsu według następującej zaleŝności. gdzie: T I T W T I = n T - czas trwania impulsu mierzonego - okres impulsu z generatora wzorcowego W

4 1.3. OGRANICZENIA ZWIĄZANE Z BŁĘDAMI POMIAROWYMI. W danym czasie układ zlicza n ± 1 impulsów, zatem błąd z tym związany będzie wynosił 1. Wynika stąd, iŝ im krótszy pomiar czasu tym jest on obarczony większym błędem. n Całkowity błąd jakim jest obarczony układ będzie sumą poszczególnych błędów w nim występujących. W rzeczywistości na kaŝdym elemencie występuje opóźnienie związane z czasem propagacji. Dlatego teŝ stosuje się generatory wzorcowe, których błąd waha się w granicach Przyjmując za błąd techniczny wartość 1% moŝna oszacować jaki minimalny czas, który moŝemy zmierzyć uŝywając generatora o określonej częstotliwości. f częstotliwość generatora T- okres impulsu generatora t- minimalny czas, który moŝemy zmierzyć, przy danej częstotliwości nie przekraczając błędu technicznego Długość okresu obliczamy ze wzoru: T = 1 f Jak wspomniałem wyŝej błąd pomiaru obliczamy ze wzoru: gdzie n- liczba zliczonych impulsów. 1 δ = n Zatem δ 0,01 = 1% dla n 100 Czyli t = nt Układ, który dla przykładu skonstruowałem jest taktowany częstotliwością f=1mhz, więc T= 0,1µs z czego wynika, Ŝe moŝemy nim wykonać pomiar impulsu nie krótszego niŝ t=1ms, aby błąd nie przekroczył 1%.

5 2. PODSTAWY JĘZYKA VHDL I IMPLEMENTACJA PROJEKTU PODSTAWY PROGRAMOWANIA W VHDL u WPROWADZENIE. Nazwa pochodzi od Very High Speed Integrated Circuit i Hardware Description Language. Sięga on 1983 roku. Początkowo był językiem stworzonym dla amerykańskiego Departamentu Obrony, do opisu sprzętu elektronicznego. W 1987 roku doczekał się standaryzacji. Następne poprawki były w 1993 roku. Dziś jest to powszechnie stosowany język do opisu, syntezy i symulacji sprzętu elektronicznego. Dzięki niemu moŝemy łatwo tworzyć i symulować roŝnego rodzaju urządzenia cyfrowe bez większych nakładów finansowych. Przy prostych projektach uŝytkownik nie musi się martwić o optymalizację wykonywanych funkcji gdyŝ robi to za niego system projektowy. Dzięki stałej pracy programistów powyŝsze systemy są ciągle ulepszane i staja się mniej zawodne, mogą równieŝ zastępować inŝyniera na niektórych etapach projektowania. Jak widać takie podejście znacznie skraca czas od zaprojektowania do wykonania danego elementu, co przy dzisiejszej konkurencji jest istotną sprawą. Technika ta w ostatnich latach znacznie poszła do przodu dzięki czemu w dzisiejszych czasach produkowane są juŝ układy zbudowane z setek tysięcy, a nawet milionów bramek, co daje nam niezmiernie duŝe moŝliwości. Dla przykładu podam kilka układów FPGA firmy Xilinx z rodziny Spartan-3. Układ XC3S50, gdzie ostatnia liczba 50 oznacza liczbę bramek systemowych, które zawiera układ. Inne układy z tej rodziny to XC3S200, XC3S400, XC3S1000, XC3S1500, XC3S2000, XC3S4000 oraz XC3S5000. Jak widać pierwszy z nich zawiera bramek, natomiast ostatni, aŝ bramek. Przygotowując przykłady do powyŝszego referatu korzystam z oprogramowania WebPACK w wersji i firmy Xilinx. Obecnie jest juŝ na rynku wersja 8.1 jednak Ŝe wersja 6.3 jest juŝ sprawdzoną i niezawodną wersją, w przeciwieństwie do nowo wychodzących wersji. Do symulacji polecam ModelSim XE III 6.0a. Programy te, po uprzednim zarejestrowaniu się moŝna ściągnąć ze strony producenta

6 PODSTAWOWE ZAGADNIENIA JĘZYKA. KaŜdy projekt w języku VHDL składa się z jednostki projektowej (opis tzw. czarnej skrzynki, z wyszczególnionymi tylko wejściami i wyjściami) i architektury, która opisuje zaleŝności pomiędzy wejściami i wyjściami danej czarnej skrzynki. Opis jednostki entity bramka_and is Port ( nazwy i typu portów wejść i wyjść ); end bramka_and; Podstawowe części programu w języku VHDL. Opis architektury architecture arch_and of bramka_and is begin opis zaleŝności między wejściami i wyjściami end arch_and; A oto przykładowy prosty program w VHDL u opisujący dwu wejściową bramkę AND: (znak -- oznacza przejście do opisu) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Przykładowy projekt. deklaracja wykorzystywanych bibliotek -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity bramka_and is Port ( a : in std_logic; b : in std_logic; y : out std_logic); end bramka_and; architecture arch_and of bramka_and is begin y <= a and b; end arch_and; deklaracja jednostki projektowej, wraz z opisem wejść-wyjść in-wejście, out-wyjście koniec deklaracji jednostki deklaracja architektury zawierającej opis zaleŝności pomiędzy wejściami i wyjściami (y <= a and b;) koniec deklaracji architektury

7 OBIEKTY W VHDL u Podstawowym obiektem jest sygnał. MoŜe on być zewnętrzny (pobierany z portów układu) lub wewnętrzny (deklarowany w ciele architektury, przed słowem kluczowym begin ). Deklaracja sygnału wewnętrznego wygląda następująco: signal nazwa_sygnału : typ_sygnału Na końcu moŝemy dodać ograniczenie lub wartość domyślną sygnału, jak to jest pokazane poniŝej. signal calkowita : intiger range 0 to 10; -- ograniczenie od 0 do 10 signal a : bit := 1 ; -- wartość domyślna W procedurach i podprogramach moŝemy równieŝ korzystać ze zmiennych, które są pomocnicze do zapamiętywania wyników naszych obliczeń. Deklaracja wygląda tak: variable nazwa_zmiennej : typ_zmiennej Wartość domyślną oraz ograniczenie tworzymy tak samo jak w przypadku sygnałów. MoŜemy równieŝ stosować wartości stałe, a ich deklaracja wygląda następująco: constant nazwa_stałej : typ_stałej :=wyraŝenie Do przechowywania danych mogą słuŝyć pliki, ich deklaracja to: file nazwa_pliku : typ_danych [open tryb] is nazwa_pliku ; TYPY W VHDL u Spis typów języka VHDL. TYP OPIS bit Wartość logiczna 0 lub 1 boolean Logiczna prawda lub fałsz integer Liczby całkowite natural Liczby naturalne positive Liczby naturalne z wyjątkiem zera real Liczby rzeczywiste std_ulogic i std_logic Typy wielowartościowe dzięki którym moŝemy np. opisać stan wysokiej impedancji, stan niezainicjowany czy tez dowolny character Znaki ze zbioru ASCII time Czas, zakres od 0 do i jednostki: fs, ps, ns, us, ms, sec, min, hr string Deklaruje ciągi znaków UŜytkownik moŝe deklarować własne typy: Wyliczeniowe (wypisanie kolejno elementów) np. type wyliczeniowy is (1,3,5,7,9); ZłoŜone (odpowiednik tablicy w innych językach) np. type złoŝony is array(0 to 7) of bit; signal a : bit_vector(0 to 3);

8 Podtypy (ograniczenie zakresu typów) np. subtype liczby is integer range 0 to 12; OPERATORY W VHDL u Lista operatorów stosowanych w języku. OPERATOR OPIS Operatory logiczne not Negacja wartości logicznej and Iloczyn logiczny nand Zanegowany iloczyn logiczny or Suma logiczna nor Zanegowana suma logiczna xor Prawda gdy sygnały róŝnych wartości Xnor Negacja xor Operatory arytmetyczne + Arytmetyczne dodawanie - Arytmetyczne odejmowanie * MnoŜenie / Dzielenie & Sklejanie mod Obliczanie modułu rem Reszta z dzielenia Operatory relacji = Rowność /= Nierówność < Mniejszy > Większy <= Mniejszy bądź równy >= Większy bądź równy Operatory przesunięcia sll Przesuwa słowo logiczne w lewo, puste miejsca wypełniają się zerami srl Przesuwa słowo logiczne w prawo, puste miejsca wypełniają się zerami sla Przesuwa słowo logiczne w lewo, puste miejsca wypełniają się jedynkami sra Przesuwa słowo logiczne w prawo, puste miejsca wypełniają się jedynkami rol Przesuwa słowo logiczne w lewo do okoła, ostatni bit staje się pierwszym ror Przesuwa słowo logiczne w prawo do okoła, pierwszy bit staje się ostatnim RoŜne abs Liczy wartość bezwzględną ** Potęgowanie + Określenie znaku wartości - Określenie znaku wartości

9 2.2. IMPLEMENTACJA PROJEKTU. Schemat projektu moŝemy przedstawić następująco: Rys. 2.1 Schemat blokowy układu do pomiaru czasu trwania impulsu elektrycznego. Układ umoŝliwia da sposoby pomiaru: 1) pomiar tylko jednego impulsu 2) pomiar czasu impulsów następujących po sobie Jak widać układ składa się pojedynczych bloków, które najpierw oddzielnie opisze w języku VHDL, a następnie połączę wykorzystując moŝliwości tego języka. Jest to tzw. programowanie strukturalne. Pierwszym blokiem, który opisze będzie układ wejściowy odpowiadający za kierowanie zliczaniem impulsów zegarowych. Dzięki niemu moŝemy wybrać rodzaj pracy dla naszego urządzenia. W programie jest to zrobione w ten sposób, Ŝe jeŝeli sygnał zewnętrzny: sposób jest równy 1 to mierzymy czas wszystkich następujących po sobie impulsów i wyświetlamy zmierzone czasy na na wyświetlaczu(po kaŝdym zboczu opadającym). A jeŝeli sposob

10 równa się 0 to układ zlicza czas tylko jednego impulsu a jego zbocze opadające powoduje jego wyświetlenie na wyświetlaczu i zablokowanie dalszego zliczania. PoniŜej przedstawiam kod opisywanego bloku. entity poczatek is Port ( C : in std_logic; sygnal : in std_logic; sposob : in std_logic; wyjs : out std_logic); end poczatek; architecture Behavioral of poczatek is signal a : std_logic := '1'; begin process(sposob,sygnal) begin if sposob = '1' then a <= '1'; elsif sposob = '0' then if falling_edge(sygnal) then a <= '0'; end if; end if; end process; wyjs <= C when (a and sygnal) = '1' else '0'; end Behavioral; W kolejnym kroku omówię serce całego projektu to znaczy licznik. Składa się on z 6 liczników liczących w kodzie BCD. Dzięki tej jednostce pokaŝe sposób łączenia małych programów (komponentów) w większe bardziej złoŝone projekty. W tym celu zaimplementowałem pojedynczy licznik: entity licznikbcd is Port ( zegar : in std_logic; reset : in std_logic; przen : out std_logic; wyjscie : out std_logic_vector(3 downto 0) ); end licznikbcd; architecture Behavioral of licznikbcd is

11 begin process(zegar,reset) variable zmien_pom : unsigned(3 downto 0); begin if reset = '1' then zmien_pom := "0000"; elsif rising_edge(zegar) then if zmien_pom < 9 then zmien_pom := zmien_pom + 1; przen <= '0'; else zmien_pom := "0000"; przen <= '1'; end if; end if; wyjscie <= std_logic_vector(zmien_pom); end process; end Behavioral; Liczni ten posiada 2 wejścia : zegar i reset oraz 2 wyjścia : przen i wyjscie, które jest wektorem 4-ro bitowym. W procesie wykorzystujemy zmienną pomocniczą : zmien_pom. Układ reaguje na zbocze rosnące zegara(funkcja rising_edge(zegar)), gdy je wykryje to zwiększa nasza zmienną pomocniczą o 1 i tak do 9. Jeśli licznik zliczy 9 impulsów to następne pojawia się na nim 0 i równocześnie jest podawany sygnał przeniesienia. Sygnał przeniesienia generowany w danym liczniku jest podawany na następny jako sygnał zegarowy i tak oto uzyskujemy kolejną liczbę do wyświetlenia na naszym wyświetlaczu. Aby to jednak połączyć musimy napisać poniŝszy program: entity licz_zlozony is Port ( zeg : in std_logic; res : in std_logic; wyj1 : out std_logic_vector(3 downto 0); wyj2 : out std_logic_vector(3 downto 0); wyj3 : out std_logic_vector(3 downto 0); wyj4 : out std_logic_vector(3 downto 0); wyj5 : out std_logic_vector(3 downto 0); wyj6 : out std_logic_vector(3 downto 0)); end licz_zlozony; architecture gotowe of licz_zlozony is COMPONENT licznikbcd PORT( zegar : IN std_logic; reset : IN std_logic; przen : OUT std_logic;

12 wyjscie : OUT std_logic_vector(3 downto 0) ); END COMPONENT; signal a,b,c,d,e,f : std_logic; begin g1: licznikbcd port map (zeg,res,a,wyj1); g2: licznikbcd port map (a,res,b,wyj2); g3: licznikbcd port map (b,res,c,wyj3); g4: licznikbcd port map (c,res,d,wyj4); g5: licznikbcd port map (d,res,e,wyj5); g6: licznikbcd port map (e,res,f,wyj6); end gotowe; Program ten przy pomocy komponentów odwołuje się do programu licznikbcd i łączy ze sobą 6 takich pojedynczych liczników, w sposób pokazany na poniŝszym rysunku. zegar reset przen zegar przen wyj1 wyj2 zegar przen wyj3 zegar przen wyj4 zegar przen wyj5 zegar wyj6 Rys. 2.2 Sposób połączenia licznika. KaŜdy komponent to jakby jeden wewnętrzny bloczek. Do ich połączenia uŝywamy pomocniczych sygnałów wewnętrznych: a, b, c, d, e, f, oraz specjalnej procedury : G1: licznikbcd port map (zeg,res,a,wyj1); Dzięki temu poleceniu moŝemy łatwo przypisać sygnały wejściowe i wyjściowe do odpowiednich komponentów, co daje nam połączenie ich w jeden większy program

13 Mógłbym opisać tu wszystkie bloki, jakie znajdują się w głównym rysunku, takie jak: zatrzask, dekoder, dzielnik czy wyświetlanie, jednakŝe zajęłoby wiele czasu, a nie oto tu chodzi. Myślę, Ŝe w przedstawionym przeze mnie materiale ukazałem podstawową architekturę i sposób projektowania. Na zakończenie podam tylko kod do głównego programu, który scala wszystkie bloki z Rys.2.1 w jedną całość. Korzystałem tu równieŝ z komponentów. entity pomiar_czasu is Port ( zegar : in std_logic; sygnal : in std_logic; sposob : in std_logic; reset : in std_logic; led : out std_logic_vector(6 downto 0); wyswietlacz : out std_logic_vector(5 downto 0)); end pomiar_czasu; architecture gotowa of pomiar_czasu is COMPONENT poczatek PORT( C : IN std_logic; sygnal : IN std_logic; sposob : IN std_logic; wyjs : OUT std_logic ); END COMPONENT; COMPONENT licz_zlozony PORT( zeg : IN std_logic; res : IN std_logic; wyj1 : OUT std_logic_vector(3 downto 0); wyj2 : OUT std_logic_vector(3 downto 0); wyj3 : OUT std_logic_vector(3 downto 0); wyj4 : OUT std_logic_vector(3 downto 0); wyj5 : OUT std_logic_vector(3 downto 0); wyj6 : OUT std_logic_vector(3 downto 0) ); END COMPONENT; COMPONENT zatrzask PORT( syg : IN std_logic; we1 : IN std_logic_vector(3 downto 0); we2 : IN std_logic_vector(3 downto 0); we3 : IN std_logic_vector(3 downto 0); we4 : IN std_logic_vector(3 downto 0); we5 : IN std_logic_vector(3 downto 0); we6 : IN std_logic_vector(3 downto 0); wy1 : OUT std_logic_vector(3 downto 0);

14 wy2 : OUT std_logic_vector(3 downto 0); wy3 : OUT std_logic_vector(3 downto 0); wy4 : OUT std_logic_vector(3 downto 0); wy5 : OUT std_logic_vector(3 downto 0); wy6 : OUT std_logic_vector(3 downto 0) ); END COMPONENT; COMPONENT dekoder_zlozony PORT( we1 : IN std_logic_vector(3 downto 0); we2 : IN std_logic_vector(3 downto 0); we3 : IN std_logic_vector(3 downto 0); we4 : IN std_logic_vector(3 downto 0); we5 : IN std_logic_vector(3 downto 0); we6 : IN std_logic_vector(3 downto 0); seg1 : OUT std_logic_vector(6 downto 0); seg2 : OUT std_logic_vector(6 downto 0); seg3 : OUT std_logic_vector(6 downto 0); seg4 : OUT std_logic_vector(6 downto 0); seg5 : OUT std_logic_vector(6 downto 0); seg6 : OUT std_logic_vector(6 downto 0) ); END COMPONENT; COMPONENT wyswietlanie PORT( zegar : IN std_logic; we1 : IN std_logic_vector(6 downto 0); we2 : IN std_logic_vector(6 downto 0); we3 : IN std_logic_vector(6 downto 0); we4 : IN std_logic_vector(6 downto 0); we5 : IN std_logic_vector(6 downto 0); we6 : IN std_logic_vector(6 downto 0); wyjs : OUT std_logic_vector(6 downto 0); wy : OUT std_logic_vector(5 downto 0) ); END COMPONENT; COMPONENT dzielnik PORT( zegar : IN std_logic; podzielony : OUT std_logic ); END COMPONENT; signal a,multip : std_logic; signal b1,b2,b3,b4,b5,b6 : std_logic_vector(3 downto 0); signal c1,c2,c3,c4,c5,c6 : std_logic_vector(3 downto 0); signal d1,d2,d3,d4,d5,d6 : std_logic_vector(6 downto 0);

15 begin g1: poczatek port map (zegar,sygnal,sposob,a); g2: licz_zlozony port map (a,reset,b1,b2,b3,b4,b5,b6); g3: zatrzask port map (sygnal,b1,b2,b3,b4,b5,b6,c1,c2,c3,c4,c5,c6); g4: dekoder_zlozony port map (c1,c2,c3,c4,c5,c6,d1,d2,d3,d4,d5,d6); g5: dzielnik port map (zegar,multip); g6: wyswietlanie port map (multip,d1,d2,d3,d4,d5,d6,led,wyswietlacz); end gotowa; Dla zainteresowanych polecam ksiąŝkę umieszczoną pod pozycją numer [3] w literaturze, gdyŝ zawiera ona wiele ciekawych przykładów. LITERATURA [1] Karkowski Zdzisław, Miernictwo elektroniczne, WSiP, Warszawa [2] Pieńkoś Jan, Turczyński Janusz, Układy scalone TTL w systemach cyfrowych, WKŁ, Warszawa [3] Mark Zwoliński, z jęz. ang. przeł. Marian Adamski, Marek Węgrzyn, Zbigniew Skowroński Projektowanie układów cyfrowych z wykorzystaniem języka VHDL.WKŁ, Warszawa 2002.

Ćwiczenie 7 Liczniki binarne i binarne systemy liczbowe.

Ćwiczenie 7 Liczniki binarne i binarne systemy liczbowe. Ćwiczenie 7 Liczniki binarne i binarne systemy liczbowe. Cel. 1. Poznanie zasady działania liczników binarnych. 2. Poznanie metod reprezentacji liczby w systemach binarnych. Wstęp teoretyczny Liczniki

Bardziej szczegółowo

PODSTAWY METROLOGII ĆWICZENIE 4 PRZETWORNIKI AC/CA Międzywydziałowa Szkoła Inżynierii Biomedycznej 2009/2010 SEMESTR 3

PODSTAWY METROLOGII ĆWICZENIE 4 PRZETWORNIKI AC/CA Międzywydziałowa Szkoła Inżynierii Biomedycznej 2009/2010 SEMESTR 3 PODSTAWY METROLOGII ĆWICZENIE 4 PRZETWORNIKI AC/CA Międzywydziałowa Szkoła Inżynierii Biomedycznej 29/2 SEMESTR 3 Rozwiązania zadań nie były w żaden sposób konsultowane z żadnym wiarygodnym źródłem informacji!!!

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład Legenda Zezwolenie Dekoder, koder Demultiplekser, multiplekser 2 Operacja zezwolenia Przykład: zamodelować podsystem elektroniczny samochodu do sterowania urządzeniami:

Bardziej szczegółowo

Opis programu do wizualizacji algorytmów z zakresu arytmetyki komputerowej

Opis programu do wizualizacji algorytmów z zakresu arytmetyki komputerowej Opis programu do wizualizacji algorytmów z zakresu arytmetyki komputerowej 3.1 Informacje ogólne Program WAAK 1.0 służy do wizualizacji algorytmów arytmetyki komputerowej. Oczywiście istnieje wiele narzędzi

Bardziej szczegółowo

Rozdział 6. Pakowanie plecaka. 6.1 Postawienie problemu

Rozdział 6. Pakowanie plecaka. 6.1 Postawienie problemu Rozdział 6 Pakowanie plecaka 6.1 Postawienie problemu Jak zauważyliśmy, szyfry oparte na rachunku macierzowym nie są przerażająco trudne do złamania. Zdecydowanie trudniejszy jest kryptosystem oparty na

Bardziej szczegółowo

Oprogramowanie klawiatury matrycowej i alfanumerycznego wyświetlacza LCD

Oprogramowanie klawiatury matrycowej i alfanumerycznego wyświetlacza LCD Oprogramowanie klawiatury matrycowej i alfanumerycznego wyświetlacza LCD 1. Wprowadzenie DuŜa grupa sterowników mikroprocesorowych wymaga obsługi przycisków, które umoŝliwiają uŝytkownikowi uruchamianie

Bardziej szczegółowo

2.Prawo zachowania masy

2.Prawo zachowania masy 2.Prawo zachowania masy Zdefiniujmy najpierw pewne podstawowe pojęcia: Układ - obszar przestrzeni o określonych granicach Ośrodek ciągły - obszar przestrzeni którego rozmiary charakterystyczne są wystarczająco

Bardziej szczegółowo

Ogólna charakterystyka kontraktów terminowych

Ogólna charakterystyka kontraktów terminowych Jesteś tu: Bossa.pl Kurs giełdowy - Część 10 Ogólna charakterystyka kontraktów terminowych Kontrakt terminowy jest umową pomiędzy dwiema stronami, z których jedna zobowiązuje się do nabycia a druga do

Bardziej szczegółowo

7. REZONANS W OBWODACH ELEKTRYCZNYCH

7. REZONANS W OBWODACH ELEKTRYCZNYCH OBWODY SYGNAŁY 7. EZONANS W OBWODAH EEKTYZNYH 7.. ZJAWSKO EZONANS Obwody elektryczne, w których występuje zjawisko rezonansu nazywane są obwodami rezonansowymi lub drgającymi. ozpatrując bezźródłowy obwód

Bardziej szczegółowo

Wykład 2. Budowa komputera. W teorii i w praktyce

Wykład 2. Budowa komputera. W teorii i w praktyce Wykład 2 Budowa komputera W teorii i w praktyce Generacje komputerów 0 oparte o przekaźniki i elementy mechaniczne (np. Z3), 1 budowane na lampach elektronowych (np. XYZ), 2 budowane na tranzystorach (np.

Bardziej szczegółowo

LABORATORIUM FOTONIKI

LABORATORIUM FOTONIKI Wydział Elektroniki Mikrosystemów i Fotoniki LABORATORIUM FOTONIKI Transoptory Opracowali: Ryszard Korbutowicz, Janusz Szydłowski I. Zagadnienia do samodzielnego przygotowania * wpływ światła na konduktywność

Bardziej szczegółowo

Wiedza niepewna i wnioskowanie (c.d.)

Wiedza niepewna i wnioskowanie (c.d.) Wiedza niepewna i wnioskowanie (c.d.) Dariusz Banasiak Katedra Informatyki Technicznej Wydział Elektroniki Wnioskowanie przybliżone Wnioskowanie w logice tradycyjnej (dwuwartościowej) polega na stwierdzeniu

Bardziej szczegółowo

LABORATORIUM PRZYRZĄDÓW PÓŁPRZEWODNIKOWYCH

LABORATORIUM PRZYRZĄDÓW PÓŁPRZEWODNIKOWYCH Wydział Elektroniki Mikrosystemów i Fotoniki Politechniki Wrocławskiej STUDA DZENNE e LAORATORUM PRZYRZĄDÓW PÓŁPRZEWODNKOWYH LPP 2 Ćwiczenie nr 10 1. el ćwiczenia Przełączanie tranzystora bipolarnego elem

Bardziej szczegółowo

WYKŁAD 8. Postacie obrazów na różnych etapach procesu przetwarzania

WYKŁAD 8. Postacie obrazów na różnych etapach procesu przetwarzania WYKŁAD 8 Reprezentacja obrazu Elementy edycji (tworzenia) obrazu Postacie obrazów na różnych etapach procesu przetwarzania Klasy obrazów Klasa 1: Obrazy o pełnej skali stopni jasności, typowe parametry:

Bardziej szczegółowo

epuap Ogólna instrukcja organizacyjna kroków dla realizacji integracji

epuap Ogólna instrukcja organizacyjna kroków dla realizacji integracji epuap Ogólna instrukcja organizacyjna kroków dla realizacji integracji Projekt współfinansowany ze środków Europejskiego Funduszu Rozwoju Regionalnego w ramach Programu Operacyjnego Innowacyjna Gospodarka

Bardziej szczegółowo

O autorze... 9 Wprowadzenie... 11

O autorze... 9 Wprowadzenie... 11 Spis tre ci O autorze... 9 Wprowadzenie... 11 Rozdzia 1. Sterownik przemys owy... 15 Sterownik S7-1200... 15 Budowa zewn trzna... 16 Budowa wewn trzna... 19 Cykl programu oraz tryby pracy... 21 Zestaw

Bardziej szczegółowo

Podstawowe działania w rachunku macierzowym

Podstawowe działania w rachunku macierzowym Podstawowe działania w rachunku macierzowym Marcin Detka Katedra Informatyki Stosowanej Kielce, Wrzesień 2004 1 MACIERZE 1 1 Macierze Macierz prostokątną A o wymiarach m n (m wierszy w n kolumnach) definiujemy:

Bardziej szczegółowo

ANALOGOWE UKŁADY SCALONE

ANALOGOWE UKŁADY SCALONE ANALOGOWE UKŁADY SCALONE Ćwiczenie to ma na celu zapoznanie z przedstawicielami najważniejszych typów analogowych układów scalonych. Będą to: wzmacniacz operacyjny µa 741, obecnie chyba najbardziej rozpowszechniony

Bardziej szczegółowo

Zarządzanie Zasobami by CTI. Instrukcja

Zarządzanie Zasobami by CTI. Instrukcja Zarządzanie Zasobami by CTI Instrukcja Spis treści 1. Opis programu... 3 2. Konfiguracja... 4 3. Okno główne programu... 5 3.1. Narzędzia do zarządzania zasobami... 5 3.2. Oś czasu... 7 3.3. Wykres Gantta...

Bardziej szczegółowo

Podstawy programowania sterowników GeFanuc

Podstawy programowania sterowników GeFanuc Podstawy programowania sterowników GeFanuc Waldemar Samociuk Zakład Teorii Maszyn i Automatyki Katedra Podstaw Techniki Felin p.110 http://ztmia.ar.lublin.pl/sips waldemar.samociuk@up.lublin,pl Podstawy

Bardziej szczegółowo

OŚWIETLENIE PRZESZKLONEJ KLATKI SCHODOWEJ

OŚWIETLENIE PRZESZKLONEJ KLATKI SCHODOWEJ OŚWIETLENIE PRZESZKLONEJ KLATKI SCHODOWEJ Przykład aplikacji: rys. 1 rys. 2 rys. 3 rys. 4 W tym przypadku do sterowania oświetleniem wykorzystano przekaźniki fi rmy Finder: wyłącznik zmierzchowy 11.01.8.230.0000

Bardziej szczegółowo

Liczba stron: 3. Prosimy o niezwłoczne potwierdzenie faktu otrzymania niniejszego pisma.

Liczba stron: 3. Prosimy o niezwłoczne potwierdzenie faktu otrzymania niniejszego pisma. Dotyczy: Zamówienia publicznego nr PN/4/2014, którego przedmiotem jest Zakup energii elektrycznej dla obiektów Ośrodka Sportu i Rekreacji m. st. Warszawy w Dzielnicy Ursus. Liczba stron: 3 Prosimy o niezwłoczne

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI WD2250A. WATOMIERZ 0.3W-2250W firmy MCP

INSTRUKCJA OBSŁUGI WD2250A. WATOMIERZ 0.3W-2250W firmy MCP INSTRUKCJA OBSŁUGI WD2250A WATOMIERZ 0.3W-2250W firmy MCP 1. CHARAKTERYSTYKA TECHNICZNA Zakresy prądowe: 0,1A, 0,5A, 1A, 5A. Zakresy napięciowe: 3V, 15V, 30V, 240V, 450V. Pomiar mocy: nominalnie od 0.3

Bardziej szczegółowo

Multiplekser, dekoder, demultiplekser, koder.

Multiplekser, dekoder, demultiplekser, koder. Opis ćwiczenia Multiplekser, dekoder, demultiplekser, koder. korzystując n-wejściową bramkę logiczną OR oraz n dwuwejściowych bramek N moŝna zbudować układ (rysunki: oraz 2), w którym poprzez podanie odpowiedniej

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa Podstawowy techniki cyfrowej Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 trochę historii

Bardziej szczegółowo

Wdrożenie modułu płatności eservice dla systemu Virtuemart 2.0.x

Wdrożenie modułu płatności eservice dla systemu Virtuemart 2.0.x Wdrożenie modułu płatności eservice dla systemu Virtuemart 2.0.x Wersja 02 Styczeń 2016 Centrum Elektronicznych Usług Płatniczych eservice Sp. z o.o. Spis treści 1. Wstęp... 3 1.1. Przeznaczenie dokumentu...

Bardziej szczegółowo

Procedura uzyskiwania awansu zawodowego na stopień nauczyciela mianowanego przez nauczycieli szkół i placówek

Procedura uzyskiwania awansu zawodowego na stopień nauczyciela mianowanego przez nauczycieli szkół i placówek Data publikacji : 10.01.2011 Procedura uzyskiwania awansu zawodowego na stopień nauczyciela mianowanego przez nauczycieli szkół i placówek Procedura uzyskiwania awansu zawodowego na stopień nauczyciela

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Audyt SEO. Elementy oraz proces przygotowania audytu. strona

Audyt SEO. Elementy oraz proces przygotowania audytu. strona Audyt SEO Elementy oraz proces przygotowania audytu 1 Spis treści Kim jesteśmy? 3 Czym jest audyt SEO 4 Główne elementy audytu 5 Kwestie techniczne 6 Słowa kluczowe 7 Optymalizacja kodu strony 8 Optymalizacja

Bardziej szczegółowo

Bazy danych. Andrzej Łachwa, UJ, 2013 andrzej.lachwa@uj.edu.pl www.uj.edu.pl/web/zpgk/materialy 9/15

Bazy danych. Andrzej Łachwa, UJ, 2013 andrzej.lachwa@uj.edu.pl www.uj.edu.pl/web/zpgk/materialy 9/15 Bazy danych Andrzej Łachwa, UJ, 2013 andrzej.lachwa@uj.edu.pl www.uj.edu.pl/web/zpgk/materialy 9/15 Przechowywanie danych Wykorzystanie systemu plików, dostępu do plików za pośrednictwem systemu operacyjnego

Bardziej szczegółowo

Akademickie Centrum Informatyki PS. Wydział Informatyki PS

Akademickie Centrum Informatyki PS. Wydział Informatyki PS Akademickie Centrum Informatyki PS Wydział Informatyki PS Wydział Informatyki Sieci komputerowe i Telekomunikacyjne ROUTING Krzysztof Bogusławski tel. 4 333 950 kbogu@man.szczecin.pl 1. Wstęp 2. Tablica

Bardziej szczegółowo

PRZEMYSŁOWY ODTWARZACZ PLIKÓW MP3 i WAV

PRZEMYSŁOWY ODTWARZACZ PLIKÓW MP3 i WAV INDUSTRIAL MP3/WAV imp3_wav AUTOMATYKA PRZEMYSŁOWA PRZEMYSŁOWY ODTWARZACZ PLIKÓW MP3 i WAV ZASTOSOWANIE: - systemy powiadamiania głosowego w przemyśle (linie technologiczne, maszyny) - systemy ostrzegania,

Bardziej szczegółowo

( 5 4 ) Sposób i urządzenie do sterowania dźwigiem, zwłaszcza towarowym,

( 5 4 ) Sposób i urządzenie do sterowania dźwigiem, zwłaszcza towarowym, RZECZPOSPOLITA PO LSK A Urząd Patentowy Rzeczypospolitej Polskiej (12) OPIS PATENTOWY (19) PL (11) 183665 (21) Numer zgłoszenia: 322262 (22) Data zgłoszenia: 24.09.1997 (13) B1 (51) IntCl7 B66B 1/32 (

Bardziej szczegółowo

Urządzenia do bezprzerwowego zasilania UPS CES GX RACK. 10 kva. Wersja U/CES_GXR_10.0/J/v01. Praca równoległa

Urządzenia do bezprzerwowego zasilania UPS CES GX RACK. 10 kva. Wersja U/CES_GXR_10.0/J/v01. Praca równoległa Urządzenia do bezprzerwowego zasilania UPS CES GX RACK 10 kva Centrum Elektroniki Stosowanej CES sp. z o. o. 30-732 Kraków, ul. Biskupińska 14 tel.: (012) 269-00-11 fax: (012) 267-37-28 e-mail: ces@ces.com.pl,

Bardziej szczegółowo

Program szkoleniowy Efektywni50+ Moduł III Standardy wymiany danych

Program szkoleniowy Efektywni50+ Moduł III Standardy wymiany danych Program szkoleniowy Efektywni50+ Moduł III 1 Wprowadzenie do zagadnienia wymiany dokumentów. Lekcja rozpoczynająca moduł poświęcony standardom wymiany danych. Wprowadzenie do zagadnień wymiany danych w

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

WYMAGANIA EDUKACYJNE I KRYTERIA OCENIANIA Z PRZEDMIOTU PROGRAMOWANIE APLIKACJI INTERNETOWYCH

WYMAGANIA EDUKACYJNE I KRYTERIA OCENIANIA Z PRZEDMIOTU PROGRAMOWANIE APLIKACJI INTERNETOWYCH WYMAGANIA EDUKACYJNE I KRYTERIA OCENIANIA Z PRZEDMIOTU PROGRAMOWANIE APLIKACJI INTERNETOWYCH Klasa: 3TIR - Technik informatyk Program: 351203 Wymiar: 4 h tygodniowo Podręcznik: Kwalifikacja E.14 Programowanie

Bardziej szczegółowo

III. GOSPODARSTWA DOMOWE, RODZINY I GOSPODARSTWA ZBIOROWE

III. GOSPODARSTWA DOMOWE, RODZINY I GOSPODARSTWA ZBIOROWE III. GOSPODARSTWA DOMOWE, RODZINY I GOSPODARSTWA ZBIOROWE 1. GOSPODARSTWA DOMOWE I RODZINY W województwie łódzkim w maju 2002 r. w skład gospodarstw domowych wchodziło 2587,9 tys. osób. Stanowiły one 99,0%

Bardziej szczegółowo

tel/fax 018 443 82 13 lub 018 443 74 19 NIP 7343246017 Regon 120493751

tel/fax 018 443 82 13 lub 018 443 74 19 NIP 7343246017 Regon 120493751 Zespół Placówek Kształcenia Zawodowego 33-300 Nowy Sącz ul. Zamenhoffa 1 tel/fax 018 443 82 13 lub 018 443 74 19 http://zpkz.nowysacz.pl e-mail biuro@ckp-ns.edu.pl NIP 7343246017 Regon 120493751 Wskazówki

Bardziej szczegółowo

PRAWA ZACHOWANIA. Podstawowe terminy. Cia a tworz ce uk ad mechaniczny oddzia ywuj mi dzy sob i z cia ami nie nale cymi do uk adu za pomoc

PRAWA ZACHOWANIA. Podstawowe terminy. Cia a tworz ce uk ad mechaniczny oddzia ywuj mi dzy sob i z cia ami nie nale cymi do uk adu za pomoc PRAWA ZACHOWANIA Podstawowe terminy Cia a tworz ce uk ad mechaniczny oddzia ywuj mi dzy sob i z cia ami nie nale cymi do uk adu za pomoc a) si wewn trznych - si dzia aj cych na dane cia o ze strony innych

Bardziej szczegółowo

Systemy mikroprocesorowe - projekt

Systemy mikroprocesorowe - projekt Politechnika Wrocławska Systemy mikroprocesorowe - projekt Modbus master (Linux, Qt) Prowadzący: dr inż. Marek Wnuk Opracował: Artur Papuda Elektronika, ARR IV rok 1. Wstępne założenia projektu Moje zadanie

Bardziej szczegółowo

SPECYFIKACJA ISTOTNYCH WARUNKÓW ZAMÓWIENIA

SPECYFIKACJA ISTOTNYCH WARUNKÓW ZAMÓWIENIA Gminny Zespół Obsługi Szkół i Przedszkoli Publicznych 33-270 Wietrzychowice tel.: (014) 641-80-15 NIP: 871-13-91-921 SPECYFIKACJA ISTOTNYCH WARUNKÓW ZAMÓWIENIA na: Świadczenie usług transportowych autobusami

Bardziej szczegółowo

Pomiar prędkości dźwięku w metalach

Pomiar prędkości dźwięku w metalach Pomiar prędkości dźwięku w metalach Ćwiczenie studenckie dla I Pracowni Fizycznej Barbara Pukowska Andrzej Kaczmarski Krzysztof Sokalski Instytut Fizyki UJ Eksperymenty z dziedziny akustyki są ciekawe,

Bardziej szczegółowo

Harmonogramowanie projektów Zarządzanie czasem

Harmonogramowanie projektów Zarządzanie czasem Harmonogramowanie projektów Zarządzanie czasem Zarządzanie czasem TOMASZ ŁUKASZEWSKI INSTYTUT INFORMATYKI W ZARZĄDZANIU Zarządzanie czasem w projekcie /49 Czas w zarządzaniu projektami 1. Pojęcie zarządzania

Bardziej szczegółowo

KLAUZULE ARBITRAŻOWE

KLAUZULE ARBITRAŻOWE KLAUZULE ARBITRAŻOWE KLAUZULE arbitrażowe ICC Zalecane jest, aby strony chcące w swych kontraktach zawrzeć odniesienie do arbitrażu ICC, skorzystały ze standardowych klauzul, wskazanych poniżej. Standardowa

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

Użytkowanie elektronicznego dziennika UONET PLUS.

Użytkowanie elektronicznego dziennika UONET PLUS. Użytkowanie elektronicznego dziennika UONET PLUS. Po wejściu na stronę https://uonetplus.vulcan.net.pl/bialystok i zalogowaniu się na swoje konto (przy użyciu adresu e-mail podanego wcześniej wychowawcy

Bardziej szczegółowo

Kurs wyrównawczy dla kandydatów i studentów UTP

Kurs wyrównawczy dla kandydatów i studentów UTP Kurs wyrównawczy dla kandydatów i studentów UTP Część III Funkcja wymierna, potęgowa, logarytmiczna i wykładnicza Magdalena Alama-Bućko Ewa Fabińska Alfred Witkowski Grażyna Zachwieja Uniwersytet Technologiczno

Bardziej szczegółowo

Stanowisko Rzecznika Finansowego i Prezesa Urzędu Ochrony Konkurencji i Konsumentów w sprawie interpretacji art. 49 ustawy o kredycie konsumenckim

Stanowisko Rzecznika Finansowego i Prezesa Urzędu Ochrony Konkurencji i Konsumentów w sprawie interpretacji art. 49 ustawy o kredycie konsumenckim Prezes Urzędu Ochrony Konkurencji i Konsumentów Warszawa, 16 maja 2016 r. Stanowisko Rzecznika Finansowego i Prezesa Urzędu Ochrony Konkurencji i Konsumentów w sprawie interpretacji art. 49 ustawy o kredycie

Bardziej szczegółowo

Projekt współfinansowany ze środków Unii Europejskiej w ramach Europejskiego Funduszu Społecznego ZAPYTANIE OFERTOWE

Projekt współfinansowany ze środków Unii Europejskiej w ramach Europejskiego Funduszu Społecznego ZAPYTANIE OFERTOWE Legnica, dnia 22.05.2015r. ZAPYTANIE OFERTOWE na przeprowadzenie audytu zewnętrznego projektu wraz z opracowaniem raportu końcowego audytu w ramach projektu, współfinansowanego ze środków Unii Europejskiej

Bardziej szczegółowo

G PROGRAMMING. Part #4

G PROGRAMMING. Part #4 G PROGRAMMING Part #4 Tablice, wykresy, klastry Tablice Zbiór elementów danych tego samego typu Zastosowanie gromadzenie danych z powtarzalnych operacji odczytu, obliczeń (magazynowanie danych przebiegów

Bardziej szczegółowo

InsERT GT Własne COM 1.0

InsERT GT Własne COM 1.0 InsERT GT Własne COM 1.0 Autor: Jarosław Kolasa, InsERT Wstęp... 2 Dołączanie zestawień własnych do systemu InsERT GT... 2 Sposób współpracy rozszerzeń z systemem InsERT GT... 2 Rozszerzenia standardowe

Bardziej szczegółowo

Projektowanie bazy danych

Projektowanie bazy danych Projektowanie bazy danych Pierwszą fazą tworzenia projektu bazy danych jest postawienie definicji celu, założeo wstępnych i określenie podstawowych funkcji aplikacji. Każda baza danych jest projektowana

Bardziej szczegółowo

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD 1. Wstęp i cel ćwiczenia W ćwiczeniu student tworzy barierę podczerwieni złożoną z diody nadawczej IR (Infra

Bardziej szczegółowo

System Informatyczny CELAB. Przygotowanie programu do pracy - Ewidencja Czasu Pracy

System Informatyczny CELAB. Przygotowanie programu do pracy - Ewidencja Czasu Pracy Instrukcja obsługi programu 2.11. Przygotowanie programu do pracy - ECP Architektura inter/intranetowa System Informatyczny CELAB Przygotowanie programu do pracy - Ewidencja Czasu Pracy Spis treści 1.

Bardziej szczegółowo

14.Rozwiązywanie zadań tekstowych wykorzystujących równania i nierówności kwadratowe.

14.Rozwiązywanie zadań tekstowych wykorzystujących równania i nierówności kwadratowe. Matematyka 4/ 4.Rozwiązywanie zadań tekstowych wykorzystujących równania i nierówności kwadratowe. I. Przypomnij sobie:. Wiadomości z poprzedniej lekcji... Że przy rozwiązywaniu zadań tekstowych wykorzystujących

Bardziej szczegółowo

Instalacja. Zawartość. Wyszukiwarka. Instalacja... 1. Konfiguracja... 2. Uruchomienie i praca z raportem... 4. Metody wyszukiwania...

Instalacja. Zawartość. Wyszukiwarka. Instalacja... 1. Konfiguracja... 2. Uruchomienie i praca z raportem... 4. Metody wyszukiwania... Zawartość Instalacja... 1 Konfiguracja... 2 Uruchomienie i praca z raportem... 4 Metody wyszukiwania... 6 Prezentacja wyników... 7 Wycenianie... 9 Wstęp Narzędzie ściśle współpracujące z raportem: Moduł

Bardziej szczegółowo

PROCEDURY UDZIELANIA ZAMÓWIEŃ PUBLICZNYCH w Powiatowym Urzędzie Pracy w Pile

PROCEDURY UDZIELANIA ZAMÓWIEŃ PUBLICZNYCH w Powiatowym Urzędzie Pracy w Pile Załącznik do Zarządzenia Dyrektora Powiatowego Urzędu Pracy nr 8.2015 z dnia 09.03.2015r. PROCEDURY UDZIELANIA ZAMÓWIEŃ PUBLICZNYCH w Powiatowym Urzędzie Pracy w Pile I. Procedury udzielania zamówień publicznych

Bardziej szczegółowo

2) Drugim Roku Programu rozumie się przez to okres od 1 stycznia 2017 roku do 31 grudnia 2017 roku.

2) Drugim Roku Programu rozumie się przez to okres od 1 stycznia 2017 roku do 31 grudnia 2017 roku. REGULAMIN PROGRAMU OPCJI MENEDŻERSKICH W SPÓŁCE POD FIRMĄ 4FUN MEDIA SPÓŁKA AKCYJNA Z SIEDZIBĄ W WARSZAWIE W LATACH 2016-2018 1. Ilekroć w niniejszym Regulaminie mowa o: 1) Akcjach rozumie się przez to

Bardziej szczegółowo

Tester pilotów 315/433/868 MHz

Tester pilotów 315/433/868 MHz KOLOROWY WYŚWIETLACZ LCD TFT 160x128 ` Parametry testera Zasilanie Pasmo 315MHz Pasmo 433MHz Pasmo 868 MHz 5-12V/ bateria 1,5V AAA 300-360MHz 400-460MHz 820-880MHz Opis Przyciski FQ/ST DN UP OFF przytrzymanie

Bardziej szczegółowo

VinCent Office. Moduł Drukarki Fiskalnej

VinCent Office. Moduł Drukarki Fiskalnej VinCent Office Moduł Drukarki Fiskalnej Wystawienie paragonu. Dla paragonów definiujemy nowy dokument sprzedaży. Ustawiamy dla niego parametry jak podano na poniższym rysunku. W opcjach mamy możliwość

Bardziej szczegółowo

Dr inż. Andrzej Tatarek. Siłownie cieplne

Dr inż. Andrzej Tatarek. Siłownie cieplne Dr inż. Andrzej Tatarek Siłownie cieplne 1 Wykład 3 Sposoby podwyższania sprawności elektrowni 2 Zwiększenie sprawności Metody zwiększenia sprawności elektrowni: 1. podnoszenie temperatury i ciśnienia

Bardziej szczegółowo

Podatek przemysłowy (lokalny podatek od działalności usługowowytwórczej) 2015-12-17 16:02:07

Podatek przemysłowy (lokalny podatek od działalności usługowowytwórczej) 2015-12-17 16:02:07 Podatek przemysłowy (lokalny podatek od działalności usługowowytwórczej) 2015-12-17 16:02:07 2 Podatek przemysłowy (lokalny podatek od działalności usługowo-wytwórczej) Podatek przemysłowy (lokalny podatek

Bardziej szczegółowo

GEO-SYSTEM Sp. z o.o. GEO-RCiWN Rejestr Cen i Wartości Nieruchomości Podręcznik dla uŝytkowników modułu wyszukiwania danych Warszawa 2007

GEO-SYSTEM Sp. z o.o. GEO-RCiWN Rejestr Cen i Wartości Nieruchomości Podręcznik dla uŝytkowników modułu wyszukiwania danych Warszawa 2007 GEO-SYSTEM Sp. z o.o. 02-732 Warszawa, ul. Podbipięty 34 m. 7, tel./fax 847-35-80, 853-31-15 http:\\www.geo-system.com.pl e-mail:geo-system@geo-system.com.pl GEO-RCiWN Rejestr Cen i Wartości Nieruchomości

Bardziej szczegółowo

Strategia rozwoju kariery zawodowej - Twój scenariusz (program nagrania).

Strategia rozwoju kariery zawodowej - Twój scenariusz (program nagrania). Strategia rozwoju kariery zawodowej - Twój scenariusz (program nagrania). W momencie gdy jesteś studentem lub świeżym absolwentem to znajdujesz się w dobrym momencie, aby rozpocząć planowanie swojej ścieżki

Bardziej szczegółowo

Modelowanie złożonych układów cyfrowych (1)

Modelowanie złożonych układów cyfrowych (1) Modelowanie złożonych układów cyfrowych () funkcje i procedury przykłady (przerzutniki, rejestry) style programowania kombinacyjne bloki funkcjonalne bufory trójstanowe multipleksery kodery priorytetowe

Bardziej szczegółowo

(86) Data i numer zgłoszenia międzynarodowego: 06.03.2002, PCT/DE02/000790 (87) Data i numer publikacji zgłoszenia międzynarodowego:

(86) Data i numer zgłoszenia międzynarodowego: 06.03.2002, PCT/DE02/000790 (87) Data i numer publikacji zgłoszenia międzynarodowego: RZECZPOSPOLITA POLSKA Urząd Patentowy Rzeczypospolitej Polskiej (12) OPIS PATENTOWY (19) PL (11) 206300 (21) Numer zgłoszenia: 356960 (22) Data zgłoszenia: 06.03.2002 (86) Data i numer zgłoszenia międzynarodowego:

Bardziej szczegółowo

Tester pilotów 315/433/868 MHz 10-50 MHz

Tester pilotów 315/433/868 MHz 10-50 MHz TOUCH PANEL KOLOROWY WYŚWIETLACZ LCD TFT 160x128 ` Parametry testera Zasilanie Pasmo 315MHz Pasmo 433MHz Pasmo 868 MHz Pasmo 10-50MHz 5-12V/ bateria 1,5V AAA 300-360MHz 400-460MHz 820-880MHz Pomiar sygnałów

Bardziej szczegółowo

REGULAMIN FINANSOWANIA ZE ŚRODKÓW FUNDUSZU PRACY KOSZTÓW STUDIÓW PODYPLOMOWYCH

REGULAMIN FINANSOWANIA ZE ŚRODKÓW FUNDUSZU PRACY KOSZTÓW STUDIÓW PODYPLOMOWYCH REGULAMIN FINANSOWANIA ZE ŚRODKÓW FUNDUSZU PRACY KOSZTÓW STUDIÓW PODYPLOMOWYCH ROZDZIAŁ I POSTANOWIENIA OGÓLNE 1 Na podstawie art. 42 a ustawy z dnia 20 kwietnia 2004 r. o promocji zatrudnienia i instytucjach

Bardziej szczegółowo

ZASADY WYPEŁNIANIA ANKIETY 2. ZATRUDNIENIE NA CZĘŚĆ ETATU LUB PRZEZ CZĘŚĆ OKRESU OCENY

ZASADY WYPEŁNIANIA ANKIETY 2. ZATRUDNIENIE NA CZĘŚĆ ETATU LUB PRZEZ CZĘŚĆ OKRESU OCENY ZASADY WYPEŁNIANIA ANKIETY 1. ZMIANA GRUPY PRACOWNIKÓW LUB AWANS W przypadku zatrudnienia w danej grupie pracowników (naukowo-dydaktyczni, dydaktyczni, naukowi) przez okres poniżej 1 roku nie dokonuje

Bardziej szczegółowo

Rys 2. Schemat obwodów wejściowo/wyjściowych urządzeń w magistrali I2C

Rys 2. Schemat obwodów wejściowo/wyjściowych urządzeń w magistrali I2C Temat: Magistrala I2C na przykładzie zegara czasu rzeczywistego PCF8583. 1.Opis magistrali I2C Oznaczenie nazwy magistrali, wywodzi się od słów Inter Integrated Circuit (w wolnym tłumaczeniu: połączenia

Bardziej szczegółowo

WYKAZ ZMIAN W INSTRUKCJI UśYTKOWNIKA KSI

WYKAZ ZMIAN W INSTRUKCJI UśYTKOWNIKA KSI WYKAZ ZMIAN W INSTRUKCJI UśYTKOWNIKA KSI 29 sierpnia 2012 r. WNIOSKI O DOFINANSOWANIE blokada pola Status pole jest blokowane do edycji w chwili utworzenia Umowy/ decyzji o dofinansowaniu dla danego wniosku

Bardziej szczegółowo

Specyfikacja techniczna banerów Flash

Specyfikacja techniczna banerów Flash Specyfikacja techniczna banerów Flash Po stworzeniu własnego banera reklamowego należy dodać kilka elementów umożliwiających integrację z systemem wyświetlającym i śledzącym reklamy na stronie www. Specyfikacje

Bardziej szczegółowo

Type ETO2 Controller for ice and snow melting

Type ETO2 Controller for ice and snow melting Type ETO2 Controller for ice and snow melting 57652 06/08 (BJ) English page 2 Deutsch page 14 page 26 Russian page 38 SPIS TREŚCI Wyjaśnienie pojęć.................... Strona 26 Wprowadzenie.....................

Bardziej szczegółowo

PAKIET MathCad - Część III

PAKIET MathCad - Część III Opracowanie: Anna Kluźniak / Jadwiga Matla Ćw3.mcd 1/12 Katedra Informatyki Stosowanej - Studium Podstaw Informatyki PAKIET MathCad - Część III RÓWNANIA I UKŁADY RÓWNAŃ 1. Równania z jedną niewiadomą MathCad

Bardziej szczegółowo

INSTRUKCJA DLA UCZESTNIKÓW ZAWODÓW ZADANIA

INSTRUKCJA DLA UCZESTNIKÓW ZAWODÓW ZADANIA INSTRUKCJA DLA UCZESTNIKÓW ZAWODÓW 1. Zawody III stopnia trwają 150 min. 2. Arkusz egzaminacyjny składa się z 2 pytań otwartych o charakterze problemowym, 1 pytania opisowego i 1 mini testu składającego

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI MC-2810 CYFROWY SYSTEM GŁOŚNIKOWY 5.1 KANAŁÓW DO KINA DOMOWEGO

INSTRUKCJA OBSŁUGI MC-2810 CYFROWY SYSTEM GŁOŚNIKOWY 5.1 KANAŁÓW DO KINA DOMOWEGO MC-2810 CYFROWY SYSTEM GŁOŚNIKOWY 5.1 KANAŁÓW DO KINA DOMOWEGO GRATULUJEMY UDANEGO ZAKUPU ZESTAWU GŁOŚNIKOWEGO MC-2810 Z AKTYWNYM SUBWOOFEREM I GŁOŚNIKAMI SATELITARNYMI. ZESTAW ZOSTAŁ STARANNIE ZAPROJEKTOWANY

Bardziej szczegółowo

Zakłócenia. Podstawy projektowania A.Korcala

Zakłócenia. Podstawy projektowania A.Korcala Zakłócenia Podstawy projektowania A.Korcala Pojęciem zakłóceń moŝna określać wszelkie niepoŝądane przebiegi pochodzenia zewnętrznego, wywołane zarówno przez działalność człowieka, jak i zakłócenia naturalne

Bardziej szczegółowo

REGULAMIN WSPARCIA FINANSOWEGO CZŁONKÓW. OIPiP BĘDĄCYCH PRZEDSTAWICIELAMI USTAWOWYMI DZIECKA NIEPEŁNOSPRAWNEGO LUB PRZEWLEKLE CHOREGO

REGULAMIN WSPARCIA FINANSOWEGO CZŁONKÓW. OIPiP BĘDĄCYCH PRZEDSTAWICIELAMI USTAWOWYMI DZIECKA NIEPEŁNOSPRAWNEGO LUB PRZEWLEKLE CHOREGO Załącznik nr 1 do Uchwały Okręgowej Rady Pielęgniarek i Położnych w Opolu Nr 786/VI/2014 z dnia 29.09.2014 r. REGULAMIN WSPARCIA FINANSOWEGO CZŁONKÓW OIPiP BĘDĄCYCH PRZEDSTAWICIELAMI USTAWOWYMI DZIECKA

Bardziej szczegółowo

Praca na wielu bazach danych część 2. (Wersja 8.1)

Praca na wielu bazach danych część 2. (Wersja 8.1) Praca na wielu bazach danych część 2 (Wersja 8.1) 1 Spis treści 1 Analizy baz danych... 3 1.1 Lista analityczna i okno szczegółów podstawowe informacje dla każdej bazy... 3 1.2 Raporty wykonywane jako

Bardziej szczegółowo

Metoda LBL (ang. Layer by Layer, pol. Warstwa Po Warstwie). Jest ona metodą najprostszą.

Metoda LBL (ang. Layer by Layer, pol. Warstwa Po Warstwie). Jest ona metodą najprostszą. Metoda LBL (ang. Layer by Layer, pol. Warstwa Po Warstwie). Jest ona metodą najprostszą. Po pierwsze - notacja - trzymasz swoją kostkę w rękach? Widzisz ścianki, którymi można ruszać? Notacja to oznaczenie

Bardziej szczegółowo

Projekt MES. Wykonali: Lidia Orkowska Mateusz Wróbel Adam Wysocki WBMIZ, MIBM, IMe

Projekt MES. Wykonali: Lidia Orkowska Mateusz Wróbel Adam Wysocki WBMIZ, MIBM, IMe Projekt MES Wykonali: Lidia Orkowska Mateusz Wróbel Adam Wysocki WBMIZ, MIBM, IMe 1. Ugięcie wieszaka pod wpływem przyłożonego obciążenia 1.1. Wstęp Analizie poddane zostało ugięcie wieszaka na ubrania

Bardziej szczegółowo

I. Zakładanie nowego konta użytkownika.

I. Zakładanie nowego konta użytkownika. I. Zakładanie nowego konta użytkownika. 1. Należy wybrać przycisk załóż konto na stronie głównej. 2. Następnie wypełnić wszystkie pola formularza rejestracyjnego oraz zaznaczyć akceptację regulaminu w

Bardziej szczegółowo

Egzamin na tłumacza przysięgłego: kryteria oceny

Egzamin na tłumacza przysięgłego: kryteria oceny Egzamin na tłumacza przysięgłego: kryteria oceny Każdy z czterech tekstów na egzaminie oceniany jest w oparciu o następujące kryteria: 1) wierność tłumaczenia (10 punktów) 2) terminologia i frazeologia

Bardziej szczegółowo

Badanie bezszczotkowego silnika prądu stałego z magnesami trwałymi (BLDCM)

Badanie bezszczotkowego silnika prądu stałego z magnesami trwałymi (BLDCM) Badanie bezszczotkowego silnika prądu stałego z magnesami trwałymi (BLDCM) Celem ćwiczenia jest zapoznanie się z budową, zasadą działania oraz sterowaniem bezszczotkowego silnika prądu stałego z magnesami

Bardziej szczegółowo

STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO!

STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO! STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO! SPIS TREŚCI STEROWNIKI NANO-PLC BUDOWA STEROWNIKA NANO-PLC PARAMETRY LOGO! OPROGRAMOWANIE NARZĘDZIOWE ZESTAW FUNKCJI W LOGO! PRZYKŁADY PROGRAMÓW STEROWNIKI

Bardziej szczegółowo

Jak usprawnić procesy controllingowe w Firmie? Jak nadać im szerszy kontekst? Nowe zastosowania naszych rozwiązań na przykładach.

Jak usprawnić procesy controllingowe w Firmie? Jak nadać im szerszy kontekst? Nowe zastosowania naszych rozwiązań na przykładach. Jak usprawnić procesy controllingowe w Firmie? Jak nadać im szerszy kontekst? Nowe zastosowania naszych rozwiązań na przykładach. 1 PROJEKTY KOSZTOWE 2 PROJEKTY PRZYCHODOWE 3 PODZIAŁ PROJEKTÓW ZE WZGLĘDU

Bardziej szczegółowo

Wykład 2. Interfejsy I 2 C, OneWire, I 2 S

Wykład 2. Interfejsy I 2 C, OneWire, I 2 S Wykład 2 Interfejsy I 2 C, OneWire, I 2 S Interfejs I 2 C I 2 C Inter-Integrated Circuit Cechy: - szeregowa, dwukierunkowa magistrala służąca do przesyłania danych w urządzeniach elektronicznych - opracowana

Bardziej szczegółowo

Komunikacja sterownika z rodziny Micro800 z Falownikiem PowerFlex40 przy pomocy sieci DeviceNet.

Komunikacja sterownika z rodziny Micro800 z Falownikiem PowerFlex40 przy pomocy sieci DeviceNet. Komunikacja sterownika z rodziny Micro800 z Falownikiem PowerFlex40 przy pomocy sieci DeviceNet. Str. 2 / 19 DeviceNet w sterownikach Micro800 Temat: Wykorzystanie sterownika z rodziny Micro800 wraz ze

Bardziej szczegółowo

PX319. Driver LED 1x2A/48V INSTRUKCJA OBSŁUGI

PX319. Driver LED 1x2A/48V INSTRUKCJA OBSŁUGI PX319 Driver LED 1x2A/48V INSTRUKCJA OBSŁUGI R SPIS TREŚCI 1. Opis ogólny... 3 2. Warunki bezpieczeństwa... 3 3. Opis złączy i elementów sterowania... 4 4. Ustawianie adresu DMX... 5 4.1. Ustawienia funkcji

Bardziej szczegółowo

REJESTRATOR RES800 INSTRUKCJA OBSŁUGI

REJESTRATOR RES800 INSTRUKCJA OBSŁUGI AEK Zakład Projektowy Os. Wł. Jagiełły 7/25 60-694 POZNAŃ tel/fax (061) 4256534, kom. 601 593650 www.aek.com.pl biuro@aek.com.pl REJESTRATOR RES800 INSTRUKCJA OBSŁUGI Wersja 1 Poznań 2011 REJESTRATOR RES800

Bardziej szczegółowo

Bazy danych II. Andrzej Grzybowski. Instytut Fizyki, Uniwersytet Śląski

Bazy danych II. Andrzej Grzybowski. Instytut Fizyki, Uniwersytet Śląski Bazy danych II Andrzej Grzybowski Instytut Fizyki, Uniwersytet Śląski Wykład 11 Zastosowanie PHP do programowania aplikacji baz danych Oracle Wsparcie programowania w PHP baz danych Oracle Oprócz możliwego

Bardziej szczegółowo

Ćwiczenie: "Ruch harmoniczny i fale"

Ćwiczenie: Ruch harmoniczny i fale Ćwiczenie: "Ruch harmoniczny i fale" Opracowane w ramach projektu: "Wirtualne Laboratoria Fizyczne nowoczesną metodą nauczania realizowanego przez Warszawską Wyższą Szkołę Informatyki. Zakres ćwiczenia:

Bardziej szczegółowo

Zasady przyznawania stypendiów doktoranckich na Wydziale Fizyki Uniwersytetu Warszawskiego na rok akademicki 2016/2017

Zasady przyznawania stypendiów doktoranckich na Wydziale Fizyki Uniwersytetu Warszawskiego na rok akademicki 2016/2017 Zasady przyznawania stypendiów doktoranckich na Wydziale Fizyki Uniwersytetu Warszawskiego na rok akademicki 2016/2017 Postanowienia ogólne 1) Niniejsze Zasady dotyczą stypendiów doktoranckich wypłacanych

Bardziej szczegółowo

P 0max. P max. = P max = 0; 9 20 = 18 W. U 2 0max. U 0max = q P 0max = p 18 2 = 6 V. D = T = U 0 = D E ; = 6

P 0max. P max. = P max = 0; 9 20 = 18 W. U 2 0max. U 0max = q P 0max = p 18 2 = 6 V. D = T = U 0 = D E ; = 6 XL OLIMPIADA WIEDZY TECHNICZNEJ Zawody II stopnia Rozwi zania zada dla grupy elektryczno-elektronicznej Rozwi zanie zadania 1 Sprawno przekszta tnika jest r wna P 0ma a Maksymaln moc odbiornika mo na zatem

Bardziej szczegółowo

Dobór nastaw PID regulatorów LB-760A i LB-762

Dobór nastaw PID regulatorów LB-760A i LB-762 1 z 5 Dobór nastaw PID regulatorów LB-760A i LB-762 Strojenie regulatorów LB-760A i LB-762 Nastawy regulatora PID Regulatory PID (rolnicze np.: LB-760A - poczynając od wersji 7.1 programu ładowalnego,

Bardziej szczegółowo

Sterownik nagrzewnicy elektrycznej HE

Sterownik nagrzewnicy elektrycznej HE Sterownik nagrzewnicy elektrycznej HE I. DANE TECHNICZNE Opis działania. Opis elementów sterujących i kontrolnych... 3 Budowa...3 4 Dane znamionowe nagrzewnicy elektrycznej...3 5 Dane znamionowe.3 6 Lista

Bardziej szczegółowo

raceboard-s Szybki start

raceboard-s Szybki start raceboard-s Szybki start Więcej na : http://raceboard.simracing.pl Kontakt: raceboard@simracing.pl Data aktualizacji: 2011-11-15 Wstęp Dziękujemy za wybór naszego produktu z serii raceboard, przykładamy

Bardziej szczegółowo