SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

Wielkość: px
Rozpocząć pokaz od strony:

Download "SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW"

Transkrypt

1 AKADEMIA GÓRNICZO-HUTNICZA im. St. Staszica w Krakowie EAIiE SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW EDK MicroBlaze Tutorial Documentation z wykorzystaniem platformy FPGA z rodziny SPARTAN3E Prowadzący: dr inŝ. Ernest Jamro Autorzy Maciej Jaklik, Artur Rydosz Wersja EDK 9.2i Data Modyfikacja (pr)

2 1. Wstęp Celem niniejszego ćwiczenia jest zapoznanie z moŝliwościami realizacji systemu procesorowego MicroBlaze przy wykorzystaniu Xlinx Platform Studio w środowisku ISE Design Suite. Wymagania: Xilinx ISE Design Suite 11.1 Spartan Digillent Board. 2. Generowanie systemu w EDK Otworzyć środowisko Xilinx ISE Design Suite 11.1->EDK->Xilinx Platform Studio i wygenerować nowy projekt przy pomocy Base System Builder Wizard (rys.1.) Rys.1. Okno dialogowe, wybór projektu. Wpisujemy dowolną nazwę projektu w wybranym katalogu 1 (rys.2.), a następnie wybieramy opcję tworzenia nowego projektu EDK (rys.3.) Rys.2. Okno dialogowe, wybór ścieŝki projektu. 1 Katalog z naszym projektem nie moŝe być tym samym katalogiem gdzie zainstalowane jest środowisko EDK ani katalogiem w którego nazwie są spacje (np.:pulpit!!!). 2

3 Rys.3. Okno dialogowe, wybór opcji tworzenia projektu. Wybieramy wersję płytki, którą będziemy wykorzystywać w ćwiczeniu: Xilinx Spartan-3 Starter Board E Wybieramy system jednoprocesorowy Wybieramy częstotliwość zegara 50MHz Rozmiar pamięci lokalnej 8KB Zastosować peryferia: o Przełączniki DIP switch na urządzeniu GPIO (General Purpose Input Output) o Wyświetlacz 7 segmentowy na urządzeniu GPIO o Diody LED na urządzeniu GPIO o Przyciski PUSH Button na urządzeniu GPIO o Interfejs RS232 na urządzeniu UARTLite o Kontroler pamięci danych LMB (DLMB) na mostku lmb_bram_if_cntr o Kontroler pamięci instrukcji LMB (DLMB) na mostku lmb_bram_if_cntr o Interfejs pamięci zewnętrznej SRAM na intrerfejsie xps_mch_emc Skonfigurować przykładowe aplikacje dla procesora: TestApp_Memory i TestApp_Pheripherial 3

4 3. Interfejsy magistrali Poprawnie wygenerowany system pokazano na rysunku 4. Rys. 4. Widok (System Assembly View) poprawnie wygenerowanego projektu. microblaze_0 procesor MicroBlaze debug_module moduł umoŝliwiający sprzętowe debuggowanie poprzez JTAG RS232 interfejs UART dlmb_cntr interfejs pamięci danych ilmb_cntr interfejs pamięci instrukcji lmb_bram pamięć BRAM mdm moduł umoŝliwiający debagowania SRAM interfejs pamięci zewnętrznej DIP, LED, PUSH urządzenia peryferyjne płytki Digillent 4. Schemat blokowy Wybrać Blok Diagram. Wyjaśnić dlaczego obydwie magistrale LMB (DLMB, ILMB) są podłączone do jednej pamięci BRAM. Jak to jest moŝliwe, ze nie ma tu konfliktu?

5 5. Mapa pamięci Dokonać zmian w mapie pamięci automatycznie wygenerowanego systemu zgodnie z rysunkiem 5: Rys.5. Mapa pamięci wygenerowanego projektu (z uwzględnieniem uwag). Uwaga. NaleŜy dokonać zmian w adresach pamięci SRAM i BRAM. W przypadku SRAM adres początkowy ustawiamy na wartość 0x , natomiast dla dlmb_cntrl i lmb_cntrl adresy początkowe ustawiamy na wartość 0x a rozmiar na 8K. 6. Przykład dodawania nowego komponentu do istniejącego systemu Kiedy system zostanie utworzony za pomocą kreatora (Base System Builder) moŝe zostać zmodyfikowany wg naszych potrzeb w oknie systemowym (System Assembly view rys.6). Rys.6. Okno systemowe System Assembly View. Podwójne kliknięcie na którykolwiek IP z listy wyświetlonej w System Assembly View umoŝliwia jego zmodyfikowanie. System Assembly View posiada filtry: o Bus Interface filter kiedy jest aktywny pokazane są połączenia magistarli o Ports filter kiedy jest aktywny pokazane są porty i połączenia. Jeśli chcemy dodać jakiś zewnętrzny port filtr musi być aktywny, o Addresses filter kiedy jest aktywny pokazane są odpowiednie adresy IP. Mamy moŝliwość generowania i zmiany adresów poszczególnych IP. 5

6 Jeśli chcemy dodać jakieś urządzenie GPIO (General Purpose Input Output) do naszego projektu klikamy na katalog IP (IP Catalog) i wybieramy XPS General Purpose IO klikając dwukrotnie. System Assembly View zostanie zaktualizowany o nowe połączenie rys.7. Rys.7. Okno dialogowe System Assembly View. W tym momencie nasze urządzenie nie jest podłączone do magistrali ani nie ma przypisanego adresu. Aktywujemy filtr Bus Interface (wystarczy kliknąć na zakładkę o tej nazwie), i klikamy w + obok xps_gpio_0 umoŝliwi nam to wybór połączenia urządzenia: o New connection zostanie dodana nowa magistrala do systemu i nasze urządzenie zostanie do niej dołączone o mb_plb nasze urządzenie zostanie dołączone do juŝ istniejącej magistrali PLB o No connection urządzenie nie zostanie dołączone. Najprostszą formą połączenia urządzenia jest podłączenie go do juŝ istniejącej magistrali. W kolejnym kroku przypisujemy adres naszemu urządzeniu Base Address i High Address. W tym celu aktywujemy filtr Address (klikamy na zakładkę rys.8). Adres moŝemy przypisać ręcznie bądź skorzystać z opcji automatycznego przypisania adresu przez kilknięcie Generate Addresses. Rys.8. Okno dialogowe System Assembly View Address Filter. 6

7 7. Generacja pliku konfiguracyjnego Wybieramy Hardware->Generate bitstream. Tworzymy plik konfuguracyjny FPGA, ale bez binariów programu który ma być realizowany przez procesor Microblaze. 8. Projekt softwarowy Zanim przystąpimy do kompilacji projektu softwarowego naleŝy włączyć Mark to Initialize BRAM s tak jak pokazano na rysunku poniŝej: Rys. 9. Później wybieramy: Software Genrate Libraries and BSPs. Jeśli nie ma Ŝadnych błędów to: Software Build All user applications Jeśli nie ma Ŝadnych błędów to moŝemy dołączyć binaria programu do pliku bitstream do konfiguracji FPGA Device Configuration Update Bitstream Tak utworzonym plikiem download.bit moŝna skonfigurować FPGA. Ale tego kroku w tym ćwiczeniu nie wykonujemy. NaleŜy zwrócić uwagę na komunikaty konsoli czy nie pojawiły się tam Ŝadne błędy. 7

8 9. Programowanie systemu Microblaze a. Tworzenie nowego projektu Chcąc stworzyć swoją własną aplikację, naleŝy w zakładce Aplication kliknąć na Add Software Application Project (rys.9). W oknie dialogowym wpisujemy nazwę naszej aplikacji, wybieramy procesor i klikamy OK. (rys.10). Po wygenerowaniu nowego projektu naleŝy włączyć Mark to initialize BRAMs tak jak to pokazano na rys. 9. Rys.10. Okno dialogowe kreator dodawania nowej aplikacji do projektu b. dodawanie nowego pliku źródłowego W pierwszej kolejności naleŝy pobrać plik system.c do folderu XPS ( Klikamy na Source i wybieramy: Add existing file. Wybieramy nasz pobrany plik system.c. tak jak pokazano to na rysunku poniŝej. Rys. 11 Okno dialogowe dodawanie pliku źródłowego 8

9 c. Modyfikacje kodu z pliku źródłowego Klikamy dwukrotnie na plik system.c rys. 12. Rys.12. Widok zawartości pliku źródłowego system.c. NaleŜy zapoznać się z kodem źródłowym, zrozumieć jego funkcjonalność. Następnie otworzyć dokumentację sprzętową GPIO i na jej podstawie odpowiednio skonfigurować funkcje zawarte w system.c: XGPIO_Initialize, XGPIO_SetDataDirection, XGPIO_DiscreteRead, XGPIO_DiscreteWrite. Wybieramy: HELP EDK Online Documentation IP Reference Driver reference guide Driver API links odszukujemy GPIO I klikamy na ostatnią wersje. Odszukujemy funkcje: XGPIO_Initialize, XGPIO_SetDataDirection, XGPIO_DiscreteRead, XGPIO_DiscreteWrite i poprawiamy je w system.c. Poprawnie skonfigurowany plik system.c pokazano na rysunku 12a. 9

10 Rys.12a. Poprawnie skonfigurowany plik system.c. 10. Implementacja softwaru Sprzętowy i programowy projekt jest kompletny, kolejnym krokiem jest zdefiniowanie programowania: konifguracja Board Support Package (BSP) (Konfiguracja BSP zawiera wybór urządzenia i bibliotek) oraz wgrywania aplikacji programowych. a. konfiguracja BSP Wybieramy: Software Software Platform Settings Okno dialogowe zawiera trzy podokna: Software Platform, OS and Libraries, Drivers w kaŝdym podoknie moŝna dokonać odpowiednich zmian w konfiguracji BSP. Okno Software View (rys.13) umoŝliwia uŝytownikowi zmianę paramterów procesora, drivera, systemu operacyjnego (Standalone, xilkernel) i bibliotek. W tym oknie nie dokonujemy Ŝadnych zmian. W oknie OS and Libraries (rys.14) umoŝliwia uŝytkownikowi konfigurację systemu operacyjnego I bibliotek. Nie dokonujemy Ŝadnych zmian. Okno Driver (rys.15) umoŝliwia wybór wersji oprogramowanie do urządzeń peryferyjnych w systemie. 10

11 Rys. 13. Okno dialogowe software view Rys.14 Okno dialogowe OS and Library Rys.15. Okno dialogowe driver configuration 11

12 11. Budowa aplikacji końcowej i uruchomienie EDK 9.2, XPS umoŝliwia uŝytkownikowi stworzenie wielu projektów softwareowych. KaŜdy projekt moŝe zawierać pliki źródłowe, pliki nagłówkowe, skrypty linkera. KaŜdy projekt moŝna skonfigurować z osobna: zmieniać opcje kompilatora wybierać, które projekty mają być skompilowane kompilować całe projekty a. Kompilacja kodu UŜywając GNU GCC Compiler moŝemy skompilować nasz kod. W tym celu wybieramy: Software Build All User Appliations (rys. 16 wynik kompilacji), a następnie Device Configuration -> Update Bitstream Rys.16. Wynik kompilacji programu. b. Wgrywanie konfiguracji do FPGA Kiedy projekty sprzętowe i programowe są juŝ kompletne, urządzenie moŝe zostać skonfigurowane. Wgrywanie programu i konfigurację FPGA moŝna przeprowadzić w kilku prostych krokach: a) podłączyć komputer z płytą FPGA poprzez Parallel-JTAG kabel i kabel szeregowy b) uruchomić sesje w hyperterminalu o ustawić bits per second: naleŝy ustawić taką samą prędkość jaką zdefiniowaliśmy w kreatorze urządzeń GPIO (rekomendowana 57600) o data bits: 8 o parity: none o stop bits: 1 o flow control: none c) podłączyć zasilanie do płytki d) załadować program download.bit e) obserwować komunikaty na terminalu i zachowanie LED na płytce. Zmieniać połoŝenia DIP switch. Zweryfikować czy obserwowane działanie pokrywa się z oczekiwanym zgodnie z uruchomionym kodem programu. 12

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW AKADEMIA GÓRNICZO-HUTNICZA im. St. Staszica w Krakowie EAIiE SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW EDK MicroBlaze Tutorial Documentation z wykorzystaniem platformy FPGA z rodziny SPARTAN3E Prowadzący: dr

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 5 ZYNQ. Obsługa przerwań. Zespół Rekonfigurowalnych

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Instalacja i opis podstawowych funkcji programu Dev-C++

Instalacja i opis podstawowych funkcji programu Dev-C++ Instalacja i opis podstawowych funkcji programu Dev-C++ Przed rozpoczęciem programowania musimy zainstalować i przygotować kompilator. Spośród wielu dostępnych kompilatorów polecam aplikację Dev-C++, ze

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

Tutorial projektowanie systemu dwuprocesorowego FPGA

Tutorial projektowanie systemu dwuprocesorowego FPGA Tutorial projektowanie systemu dwuprocesorowego FPGA Celem niniejszego tutoriala jest zapoznanie się z zagadnieniami związanymi z projektowaniem systemów wieloprocesorowych w układach FPGA. Tutorial jest

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Komunikacja Master-Slave w protokole PROFIBUS DP pomiędzy S7-300/S7-400

Komunikacja Master-Slave w protokole PROFIBUS DP pomiędzy S7-300/S7-400 PoniŜszy dokument zawiera opis konfiguracji programu STEP7 dla sterowników S7 300/S7 400, w celu stworzenia komunikacji Master Slave z wykorzystaniem sieci PROFIBUS DP pomiędzy sterownikami S7 300 i S7

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami

Bardziej szczegółowo

Programowanie niskopoziomowe

Programowanie niskopoziomowe W. Complak, J.Kniat, M. Antczak, K. Kwarciak, G. Palik, A. Rybarczyk, Ł. Wielebski Materiały Programowanie niskopoziomowe http://www.cs.put.poznan.pl/arybarczyk/c_w_0.pdf Spis treści 1. Instalacja środowiska

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

Laboratorium Procesorów Sygnałowych

Laboratorium Procesorów Sygnałowych Laboratorium Procesorów Sygnałowych Moduł STM32F407 Discovery GPIO, C/A, akcelerometr I. Informacje wstępne Celem ćwiczenia jest zapoznanie z: Budową i programowaniem modułu STM32 F4 Discovery Korzystaniem

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych.

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. 1. Przygotowanie środowiska programistycznego. Zajęcia będą

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

Kabel USB 2.0 do połączenia komputerów PCLinq2 (PL-2501) podręcznik uŝytkownika

Kabel USB 2.0 do połączenia komputerów PCLinq2 (PL-2501) podręcznik uŝytkownika Kabel USB 2.0 do połączenia komputerów PCLinq2 (PL-2501) podręcznik uŝytkownika Wprowadzenie Kabel USB 2.0 do połączenia komputerów PCLinq2 to znakomite rozwiązanie do szybkiego utworzenia sieci peer-to-peer

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Zdalny podgląd wizualizacji z panelu XV100 przez przeglądarkę internetową (WebServer)

Zdalny podgląd wizualizacji z panelu XV100 przez przeglądarkę internetową (WebServer) www.eaton.com www.moeller.pl Zdalny podgląd wizualizacji z panelu XV100 przez przeglądarkę internetową (WebServer) Notatka aplikacyjna NA152PL Spis treści 1. Wprowadzenie... 3 2. Wymagane oprogramowanie...

Bardziej szczegółowo

Laboratorium: Systemy operacyjne czasu rzeczywistego. Temat: Wprowadzenie do karty DS1102 i oprogramowania Control Desk.

Laboratorium: Systemy operacyjne czasu rzeczywistego. Temat: Wprowadzenie do karty DS1102 i oprogramowania Control Desk. Laboratorium: Systemy operacyjne czasu rzeczywistego. Temat: Wprowadzenie do karty DS1102 i oprogramowania Control Desk. Opis karty DS1102 Karta DS1102 naleŝy do rodziny pojedynczych kart sterowniczych

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Compas 2026 Personel Instrukcja obsługi do wersji 1.05

Compas 2026 Personel Instrukcja obsługi do wersji 1.05 Compas 2026 Personel Instrukcja obsługi do wersji 1.05 1 Oprogramowanie obsługi uprawnień SKD 2 Oprogramowanie obsługi uprawnień SKD 3 Oprogramowanie obsługi uprawnień SKD Spis treści Integracja...5 1.Compas

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

FAQ: 00000012/PL Data: 19/11/2007 Programowanie przez Internet: Przekierowanie portu na SCALANCE S 612 w celu umo

FAQ: 00000012/PL Data: 19/11/2007 Programowanie przez Internet: Przekierowanie portu na SCALANCE S 612 w celu umo W tym dokumencie opisano przekierowanie portu na sprzętowym firewall u SCALANCE S 612 V2* (numer katalogowy: 6GK5612-0BA00-2AA3) w celu umoŝliwienia komunikacji STEP 7 ze sterownikiem przez sieć Ethernet/Internet.

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

INSTRUKCJA INSTALACJI DRUKARKI. (Dla Windows CP-D70DW/D707DW)

INSTRUKCJA INSTALACJI DRUKARKI. (Dla Windows CP-D70DW/D707DW) INSTRUKCJA INSTALACJI DRUKARKI (Dla Windows CP-D70DW/D707DW) Microsoft, Windows, Windows XP, Windows Vista i Windows 7 są zastrzeżonymi znakami towarowymi Microsoft Corporation w Stanach Zjednoczonych

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWNIKA MPCC

INSTRUKCJA UŻYTKOWNIKA MPCC V1.0.0 (10.14.2015) 1 (7) INSTALACJA UWAGA: Produkt działa jako urządzenie nadrzędne Modbus. Dlatego w przypadku podłączania narzędzia do istniejącej sieci Modbus konieczne może okazać się odłączenie innego

Bardziej szczegółowo

VComNet Podręcznik użytkownika. VComNet. Podręcznik użytkownika Wstęp

VComNet Podręcznik użytkownika. VComNet. Podręcznik użytkownika Wstęp VComNet Podręcznik użytkownika Wstęp VComNet przeznaczony jest do wdrażania aplikacji komunikacyjnych uruchomionych na komputerze PC z systemem Windows z urządzeniami połączonymi poprzez RS485 (RS422/RS232)

Bardziej szczegółowo

Tworzenie oprogramowania

Tworzenie oprogramowania Tworzenie oprogramowania dr inż. Krzysztof Konopko e-mail: k.konopko@pb.edu.pl 1 Tworzenie oprogramowania dla systemów wbudowanych Program wykładu: Tworzenie aplikacji na systemie wbudowanym. Konfiguracja

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 SPIS TREŚCI SPIS TREŚCI...2 WSTĘP...2 INSTRUKCJA INSTALACJI I KONFIGURACJI...3 SCHEMAT INSTALACJI KARTY SIM W SE GC85...3 INSTALACJA

Bardziej szczegółowo

Realizacja własnych modułów IP Cores w srodowisku EDK

Realizacja własnych modułów IP Cores w srodowisku EDK Realizacja własnych modułów IP Cores w srodowisku EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 3.10.2007 1 Wstęp Celem ćwiczenia jest zapoznanie się z możliwościami

Bardziej szczegółowo

Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232

Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232 Konfiguracja i podłączenie sterownika Horner APG do oprogramowania Cscape po RS232 Na przykładzie sterownika XLe SPIS TREŚCI Przygotowanie kabla łączącego sterownik z komputerem... 2 Konfiguracja ustawień

Bardziej szczegółowo

SYSTEMY CZASU RZECZYWISTEGO (SCR)

SYSTEMY CZASU RZECZYWISTEGO (SCR) Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania SYSTEMY CZASU RZECZYWISTEGO (SCR) Podstawy programowanie systemów wbudowanych na bazie platformy sprzętowo-programowej

Bardziej szczegółowo

BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi

BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi Copyright (c) 2007 2008 Boff Spis treści 1. Opis urządzenia...3 2. Instalacja oprogramowania w Windows...4 3. Instalacja oprogramowania w UBUNTU

Bardziej szczegółowo

Współpraca Integry z programami zewnętrznymi

Współpraca Integry z programami zewnętrznymi Współpraca Integry z programami zewnętrznymi Uwaga! Do współpracy Integry z programami zewnętrznymi potrzebne są dodatkowe pliki. MoŜna je pobrać z sekcji Download -> Pozostałe po zalogowaniu do Strefy

Bardziej szczegółowo

cmt + CODESYS, oraz zdalne wejścia/ wyjścia

cmt + CODESYS, oraz zdalne wejścia/ wyjścia Instrukcja użytkownika cmt + CODESYS, oraz zdalne wejścia/ wyjścia W tej instrukcji przedstawiono krok po kroku, jak skonfigurować panel cmt3090 i zdalne wejścia/ wyjścia. V1.00 Spis treści 1. Instalacja

Bardziej szczegółowo

Instrukcja do oprogramowania ENAP DEC-1

Instrukcja do oprogramowania ENAP DEC-1 Instrukcja do oprogramowania ENAP DEC-1 Do urządzenia DEC-1 dołączone jest oprogramowanie umożliwiające konfigurację urządzenia, rejestrację zdarzeń oraz wizualizację pracy urządzenia oraz poszczególnych

Bardziej szczegółowo

Wykorzystanie protokołu SCEP do zarządzania certyfikatami cyfrowymi w systemie zabezpieczeń Check Point NGX

Wykorzystanie protokołu SCEP do zarządzania certyfikatami cyfrowymi w systemie zabezpieczeń Check Point NGX Wykorzystanie protokołu SCEP do zarządzania certyfikatami cyfrowymi w systemie zabezpieczeń Check Point NGX 1. Wstęp Protokół SCEP (Simple Certificate Enrollment Protocol) został zaprojektowany przez czołowego

Bardziej szczegółowo

KARTA INTERFEJSU RÓWNOLEGŁEGO PCI

KARTA INTERFEJSU RÓWNOLEGŁEGO PCI KARTA INTERFEJSU RÓWNOLEGŁEGO PCI Wstęp Skrócona instrukcja instalacji Dziękujemy za zakup karty interfejsu IEEE1284 PCI. Niniejsza karta stwarza możliwość dodania jednego lub dwóch dodatkowych portów

Bardziej szczegółowo

Rodzaj kabla Kabel szeregowy skrosowany (tzw. zero modem kabel) Kabel USB host-to-host Kabel RS232/PPI multimaster Kabel USB/PPI multimaster *

Rodzaj kabla Kabel szeregowy skrosowany (tzw. zero modem kabel) Kabel USB host-to-host Kabel RS232/PPI multimaster Kabel USB/PPI multimaster * W zaleŝności od portów dostępnych w danym panelu operatorskim (RS232, RS422 lub USB), program moŝna wgrać za pomocą czterech rodzajów kabla: Rodzaj kabla Kabel szeregowy skrosowany (tzw. zero modem kabel)

Bardziej szczegółowo

Konfiguracja trybu pracy Real COM dla serwerów NPort:

Konfiguracja trybu pracy Real COM dla serwerów NPort: Konfiguracja trybu pracy Real COM dla serwerów NPort: Poniższa instrukcja dotyczy następujących modeli z rodziny serwerów portów szeregowych: -NPort DE-211 -NPort DE-311 - NPort DE-311M - NPort 5100 cała

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

ZAPOZNANIE SIĘ Z TWORZENIEM

ZAPOZNANIE SIĘ Z TWORZENIEM LABORATORIUM SYSTEMÓW MOBILNYCH ZAPOZNANIE SIĘ Z TWORZENIEM APLIKACJI MOBILNEJ W J2ME I. Temat ćwiczenia II. Wymagania Podstawowe wiadomości z zakresu języka Java Podstawowa znajomość środowiska Eclipse

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Konfiguracja współpracy urządzeń mobilnych (bonowników).

Konfiguracja współpracy urządzeń mobilnych (bonowników). Konfiguracja współpracy urządzeń mobilnych (bonowników). Współpracę Bistro z bonownikami można realizować na dwa sposoby: poprzez udostępnienie folderu w Windows albo za pomocą serwera ftp. 1. Współpraca

Bardziej szczegółowo

Generator Wniosków Płatniczych dla Programu Operacyjnego Kapitał Ludzki. Instrukcja Instalacji

Generator Wniosków Płatniczych dla Programu Operacyjnego Kapitał Ludzki. Instrukcja Instalacji Generator Wniosków Płatniczych dla Programu Operacyjnego Kapitał Ludzki Instrukcja Instalacji Aplikacja współfinansowana ze środków Unii Europejskiej w ramach Europejskiego Funduszu Społecznego Warszawa,

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi. oprogramowania PC-LINK

Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi. oprogramowania PC-LINK Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi oprogramowania PC-LINK Do urządzenia VA18B została dołączona płyta CD zawierająca oprogramowanie PC-LINK, dzięki któremu moŝliwa jest komunikacja

Bardziej szczegółowo

Programowanie Systemów Czasu Rzeczywistego

Programowanie Systemów Czasu Rzeczywistego Programowanie Systemów Czasu Rzeczywistego Laboratorium Wprowadzenie Mariusz RUDNICKI mariusz.rudnicki@eti.pg.gda.pl 2016 Spis treści Przygotowanie platform docelowej.... 3 Przygotowanie środowiska programistycznego

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

PRZETWORNIK USB - RS232

PRZETWORNIK USB - RS232 PRZETWORNIK USB - RS232 Przewodnik szybkiej instalacji Windows 7 DA-70159 Krok 1: Krok 2: Włożyć płytę CD ze sterownikiem do napędu CD-ROM Podłączyć urządzenie do portu USB w PC Jeśli jest dostępne połączenie

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II PRE LAB Instalacja środowiska Keil i konfigurowanie zestawu FRDM-KL46Z Sebastian

Bardziej szczegółowo

Instalacja oprogramowania ESI[tronic] oraz konfiguracja Diagnozy Sterowników

Instalacja oprogramowania ESI[tronic] oraz konfiguracja Diagnozy Sterowników Instalacja oprogramowania ESI[tronic] oraz konfiguracja Diagnozy Sterowników 1 Instalacja oprogramowania ESI [ tronic ] Do pierwszej instalacji oprogramowania wymagane są: płyty bazowe DVD 1 i/lub DVD

Bardziej szczegółowo

1. Aplikacja LOGO! App do LOGO! 8 i LOGO! 7

1. Aplikacja LOGO! App do LOGO! 8 i LOGO! 7 1. Aplikacja do LOGO! 8 i LOGO! 7 1.1. Przegląd funkcji Darmowa aplikacja umożliwia podgląd wartości parametrów procesowych modułu podstawowego LOGO! 8 i LOGO! 7 za pomocą smartfona lub tabletu przez sieć

Bardziej szczegółowo

Instrukcja obsługi przełącznika KVM ATEN CS661. Opis urządzenia. Instalacja urządzenia

Instrukcja obsługi przełącznika KVM ATEN CS661. Opis urządzenia. Instalacja urządzenia Instrukcja obsługi przełącznika KVM ATEN CS661 Opis urządzenia Przełącznik ATEN CS661 jest urządzeniem małych rozmiarów, które posiada zintegrowane 2 kable USB do podłączenia komputera lokalnego (głównego)

Bardziej szczegółowo

LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE

LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE KATEDRA ELEKTRYFIKACJI I AUTOMATYZACJI GÓRNICTWA LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE Bezprzewodowa transmisja danych wg standardu 802.11 realizacja z wykorzystaniem ruterów MIKROTIK (INSTRUKCJA LABORATORYJNA)

Bardziej szczegółowo

Moduł USB GREISINGER EBW3 EASYBUS

Moduł USB GREISINGER EBW3 EASYBUS Moduł USB GREISINGER EBW3 EASYBUS Instrukcja obsługi Nr produktu: 123136 Strona 1 z 7 1. Instalacja 1.1 Windows Vista Instalacja sterowników do niniejszego urządzenia wymaga zalogowania się na konto użytkownika

Bardziej szczegółowo

Multimetr cyfrowy MAS-345. Instrukcja instalacji i obsługi oprogramowania DMM VIEW Ver 2.0

Multimetr cyfrowy MAS-345. Instrukcja instalacji i obsługi oprogramowania DMM VIEW Ver 2.0 Multimetr cyfrowy MAS-345 Instrukcja instalacji i obsługi oprogramowania DMM VIEW Ver 2.0 Do urządzenia MAS-345 została dołączona płyta CD zawierająca oprogramowanie DMM VIEW 2.0, dzięki któremu moŝliwa

Bardziej szczegółowo

POŁĄCZENIE STEROWNIKÓW ASTRAADA ONE MIĘDZY SOBĄ Z WYKORZYSTANIEM PROTOKOŁU UDP. Sterowniki Astraada One wymieniają między sobą dane po UDP

POŁĄCZENIE STEROWNIKÓW ASTRAADA ONE MIĘDZY SOBĄ Z WYKORZYSTANIEM PROTOKOŁU UDP. Sterowniki Astraada One wymieniają między sobą dane po UDP POŁĄCZENIE STEROWNIKÓW ASTRAADA ONE MIĘDZY SOBĄ Z WYKORZYSTANIEM PROTOKOŁU UDP Sterowniki Astraada One wymieniają między sobą dane po UDP Wstęp Celem informatora jest konfiguracja i przygotowanie sterowników

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja:

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja: Instrukcja aktualizacji oprogramowania Wersja dokumentu: 01i00 Aktualizacja: 2016-03-11 Uwagi Inne dokumenty dotyczące obsługi urządzeń można pobrać ze strony energetyka.itr.org.pl Przed aktualizacją oprogramowania

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

1 POLSKI... 2 1.1 INSTALACJA OPROGRAMOWANIA IDC3 FIRMY TEXA... 2 1.2 INSTALACJA W SYSTEMIE WINDOWS VISTA...21

1 POLSKI... 2 1.1 INSTALACJA OPROGRAMOWANIA IDC3 FIRMY TEXA... 2 1.2 INSTALACJA W SYSTEMIE WINDOWS VISTA...21 1 POLSKI... 2 1.1 INSTALACJA OPROGRAMOWANIA IDC3 FIRMY TEXA... 2 1.2 INSTALACJA W SYSTEMIE WINDOWS VISTA...21 Setup IDC3_multilang_PL_00 Texa S.p.A. 1/25 1 POLSKI 1.1 INSTALACJA OPROGRAMOWANIA IDC3 FIRMY

Bardziej szczegółowo

Instrukcja Instalacji

Instrukcja Instalacji Generator Wniosków Płatniczych dla Programu Operacyjnego Kapitał Ludzki Instrukcja Instalacji Aplikacja współfinansowana ze środków Unii Europejskiej w ramach Europejskiego Funduszu Społecznego Spis treści

Bardziej szczegółowo

Engenius/Senao EUB-362EXT IEEE802.11b/g USB Instrukcja Obsługi

Engenius/Senao EUB-362EXT IEEE802.11b/g USB Instrukcja Obsługi Engenius/Senao EUB-362EXT IEEE802.11b/g USB Instrukcja Obsługi 1 WSTĘP 2 1 Wstęp 1.1 Wymagania systemowe Minimalne wymagania systemowe niezbędne do używania adaptera USB. Komputer PC wyposażony w interfejs

Bardziej szczegółowo

1. Instalacja modułu w systemie Windows.

1. Instalacja modułu w systemie Windows. 1. Instalacja modułu w systemie Windows. W urządzeniach dołączanych do sieci lokalnej LAN zastosowano moduły firmy DIGI. Sterowniki dostarczone przez producenta tworzą w systemie Windows wirtualny port

Bardziej szczegółowo

INFORMATOR TECHNICZNY HORNER. Konfiguracja komunikacji GPRS pomiędzy sterownikiem XLe i oprogramowaniem Proficy HMI/SCADA Cimplicity

INFORMATOR TECHNICZNY HORNER. Konfiguracja komunikacji GPRS pomiędzy sterownikiem XLe i oprogramowaniem Proficy HMI/SCADA Cimplicity INFORMATOR TECHNICZNY HORNER Informator techniczny nr 2 -- Grudzień 2008 Konfiguracja komunikacji GPRS pomiędzy sterownikiem XLe i oprogramowaniem Proficy HMI/SCADA Cimplicity Komunikacja w sieci GPRS

Bardziej szczegółowo

Instrukcja programowania płytek edycji 2014

Instrukcja programowania płytek edycji 2014 Instrukcja programowania płytek edycji 2014 Spis treści 1. Opis płytki procesorowej (sumo_base_5)...1 1.1. Podstawowe elementy płytki...2 1.2. Pozostałe elementy płytki...3 2. Opis płytki sterującej napędami

Bardziej szczegółowo

1. Opis. 2. Wymagania sprzętowe:

1. Opis. 2. Wymagania sprzętowe: 1. Opis Aplikacja ARSOFT-WZ2 umożliwia konfigurację, wizualizację i rejestrację danych pomiarowych urządzeń produkcji APAR wyposażonych w interfejs komunikacyjny RS232/485 oraz protokół MODBUS-RTU. Aktualny

Bardziej szczegółowo

EC4P Pierwszy program w 6 krokach

EC4P Pierwszy program w 6 krokach EC4P Pierwszy program w 6 krokach Strona - 1 Wymagania / Przygotowanie Instalacja easy Soft CoDeSys Zakłada si, e adna z wersji easysoft CoDeSys nie jest zainstalowana. Podczas instalacji wykonuj poszczególne

Bardziej szczegółowo

NXC-8160 Biznesowy kontroler sieci WLAN

NXC-8160 Biznesowy kontroler sieci WLAN Biznesowy kontroler sieci WLAN Skrócona instrukcja obsługi Wersja 1.00 Edycja 1 Czerwiec 2007 Spis treści Przegląd...1 1 Wykonywanie wstępnych połączeń sprzętowych...2 2 Dostęp do programu konfiguracyjnego...3

Bardziej szczegółowo

PLUTO Sterownik bezpieczeństwa Skrócona Instrukcja obsługi oprogramowania. PlutoProgrammingManualPL_v7A.pdf 1

PLUTO Sterownik bezpieczeństwa Skrócona Instrukcja obsługi oprogramowania. PlutoProgrammingManualPL_v7A.pdf 1 PLUTO Sterownik bezpieczeństwa Skrócona Instrukcja obsługi oprogramowania PlutoProgrammingManualPL_v7A.pdf 1 www.jokabsafety.com Spis treści 1. Instalacja oprogramowania 3 2. Podłączenie do komputera..5

Bardziej szczegółowo

THOMSON SpeedTouch 585v6

THOMSON SpeedTouch 585v6 THOMSON SpeedTouch 585v6 Modem ADSL, router, switch, Wi-Fi Instrukcja podłączenia i uruchomienia Router Speedtouch 585v5 jest urządzeniem umoŝliwiającym dostęp do Internetu poprzez wbudowany modem ADSL

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI PROGRAMU INSTAR 1.0

INSTRUKCJA OBSŁUGI PROGRAMU INSTAR 1.0 INSTRUKCJA OBSŁUGI PROGRAMU INSTAR 1.0 ver. 30.01.2014 Spis treści I. Wstęp... 2 II. Transmisja danych... 3 III. Aktualizacja oprogramowania... 4 IV. Ustawienia parametrów... 4 V. Konfiguracja modemu radiowego....

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

PU002 Sweex 2 Port Serial PCI Card

PU002 Sweex 2 Port Serial PCI Card PU002 Sweex 2 Port Serial PCI Card Wstęp Dziękujemy za zakup Sweex 2 Port Serial PCI Card. Karta umożliwia łatwe dołączenie dwóch portów szeregowych do komputera. Aby zapewnić jej poprawne działanie, należy

Bardziej szczegółowo

Instrukcja instalacji i konfiguracji bazy danych SQL SERVER 2008 EXPRESS R2. Instrukcja tworzenia bazy danych dla programu AUTOSAT 3. wersja 0.0.

Instrukcja instalacji i konfiguracji bazy danych SQL SERVER 2008 EXPRESS R2. Instrukcja tworzenia bazy danych dla programu AUTOSAT 3. wersja 0.0. Instrukcja instalacji i konfiguracji bazy danych SQL SERVER 2008 EXPRESS R2 Instrukcja tworzenia bazy danych dla programu AUTOSAT 3 wersja 0.0.4 2z12 1. Wymagania systemowe. Przed rozpoczęciem instalacji

Bardziej szczegółowo

Autorzy. Zespół SABUR Sp. Z o.o. Wydanie Data. Sierpień SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone

Autorzy. Zespół SABUR Sp. Z o.o. Wydanie Data. Sierpień SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone SMART RIO Autorzy Wydanie Data : : : Zespół SABUR Sp. Z o.o. 3.00 Sierpień 2013 2013 SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone Bez pisemnej zgody firmy SABUR Sp. Z o.o. niniejszy materiał ani w całości,

Bardziej szczegółowo

Site Installer v2.4.xx

Site Installer v2.4.xx Instrukcja programowania Site Installer v2.4.xx Strona 1 z 12 IP v1.00 Spis Treści 1. INSTALACJA... 3 1.1 Usunięcie poprzedniej wersji programu... 3 1.2 Instalowanie oprogramowania... 3 2. UŻYTKOWANIE

Bardziej szczegółowo

Instalacja NOD32 Remote Administrator

Instalacja NOD32 Remote Administrator Instalacja NOD32 Remote Administrator Program do zdalnego zarządzania stacjami roboczymi, na których zainstalowany jest program NOD32, składa się z dwóch modułów. Pierwszy z nich Remote Administrator Server

Bardziej szczegółowo

1) Połączenie za pomocą kabla PC/PPI (Nr kat.: USB: 6ES7 901-3DB30-0XA0, RS232: 6ES7 901-3CB30-0XA0)

1) Połączenie za pomocą kabla PC/PPI (Nr kat.: USB: 6ES7 901-3DB30-0XA0, RS232: 6ES7 901-3CB30-0XA0) Pierwszym etapem programowania sterownika S7-200 jest jego podłączenie i nawiązanie z nim komunikacji. Są trzy moŝliwości połączenia się ze sterownikiem: kabel PC/PPI, PC Adapter USB lub procesor komunikacyjny

Bardziej szczegółowo

FAQ: 00000069/PL Data: 01/06/2015 WinCC Professional konfiguracja struktury klient-serwer

FAQ: 00000069/PL Data: 01/06/2015 WinCC Professional konfiguracja struktury klient-serwer System wizualizacji WinCC Professional można skonfigurować w różnych strukturach dostępu dla użytkownika. Architektura, jaką zastosujemy zależy głównie od wymogów zakładowych i ma istotny wpływ na późniejszą

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

GUIX Studio (1) Projekt e2studio

GUIX Studio (1) Projekt e2studio Krok po kroku Kursy EP GUIX Studio (1) Każda aplikacja wymagająca interakcji z użytkownikiem musi być wyposażona w interfejs użytkownika. Współcześnie zwykle do tego celu używa się wyświetlaczy graficznych.

Bardziej szczegółowo