Realizacja własnych modułów IP Cores w srodowisku EDK

Wielkość: px
Rozpocząć pokaz od strony:

Download "Realizacja własnych modułów IP Cores w srodowisku EDK"

Transkrypt

1 Realizacja własnych modułów IP Cores w srodowisku EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków

2 Wstęp Celem ćwiczenia jest zapoznanie się z możliwościami realizacji własnych modułów urządzeń peryferyjnych współpracujących z procesorem MicroBlaze za pośrednictwem magistrali OPB. Spełnienie pewnych formalnych założeń przy projektowaniu własnego urządzenia umożliwia jego późniejsze wykorzystanie jako modułu automatycznie rozpoznawanego i zintegrowanego ze środowiskiem EDK. W ćwiczeniu zaproponowano metodę projektowania własnego sprzętu w oparciu o interfejs IPIC skojarzony z elementem bibliotecznym IPIF dostarczonym przez firmę Xilinx. Wykorzystanie tego uniwersalnego elementu pośredniczącego w komunikacji modułu użytkownika z magistrala OPB przyśpiesza integrację własnych urządzeń ze środowiskiem EDK oraz chroni przed koniecznością zachowania dbałości o spełnienie wymagań czasowych modułów IPCores projektowanych dla EDK. Ponadto IPIC umożliwia migrację urządzeń peryferyjnych pomiędzy różnymi magistralami systemowymi dostępnymi w środowisku EDK oraz pomiędzy kolejnymi wersjami pakietu. Jako przykład w poniższym ćwiczeniu zaprezentowany będzie dodatkowy moduł RTC (ang. Run-Time clock). i sposób jego integracji ze środowiskiem EDK. Moduł zostanie uruchomiony w konfiguracji z procesorem Microblaze, modułem interfacu szeregowego opb_uart Light, pojedynczą magistralą OPB oraz dwoma magistralami LMB. Wymagania Przed przystąpieniem do ćwiczenia dobrze jest zapoznać się z User Core Templates Reference Guide. Aby możliwe było ukończenie ćwiczenia konieczna jest także podstawowa znajomość środowiska EDK. (wykonanie odpowiednich ćwiczeń i przykładów). Przygotowanie do ćwiczenia POLECENIE Utworzyć katalog o wybranej przez siebie nazwie realizowanego projektu (Projekt_Katalog) i rozpakować do niego zawartość pliku MBCores.zip ( Po rozpakowaniu powinniśmy otrzymać trzy pliki: RTC.vhd, RTC.vhi, system.c Dodatkowo, korzystając z umiejętności zdobytych podczas pierwszych ćwiczeń, należy w tym folderze wygenerować przykładowy projekt EDK (w dalszej części ćwiczenia będziemy go potrzebować). Opis części składowych ćwiczenia Sposób integracji urządzeń ze środowiskiem EDK zostanie zaprezentowany na przykładzie modułu zegara czas rzeczywistego RTC W celu realizacji ćwiczenia należy posłużyć się przygotowanymi w VHDL komponentem RTC. Znajduje się on w Projekt_Katalog\RCT.vhd. Moduł RTC Moduł RTC jest bardzo prostym układem zegara czasu rzeczywistego. Jego wyprowadzenia przedstawia rysunek poniżej. Funkcję sygnałów zestawiono w tabeli. 2

3 Nazwa sygnału Opis Wr Sygnał zapisu czasu do modułu RTC. Kiedy Wr= 1 wraz z narastającym zboczem zegara wpisywany jest czas wystawiony na liniach BCDHourIn, BCDMinIn, BCDSecIn BCDHourIn[7:0] Sygnał wejściowy. Godzina w kodzie BCD BCDMinIn[7:0] Sygnał wejściowy. Minuta w kodzie BCD BCDSecIn[7:0] Sygnał wejściowy. Sekunda w kodzie BCD BCDHourOut[7:0] Sygnał do odczytu. Godzina w kodzie BCD BCDMinOut[7:0] Sygnał do odczytu. Minuta w kodzie BCD BCDSecOut[7:0] Sygnał do odczytu. Sekunda w kodzie BCD Stop Sygnał zatrzymania zegara. Stop=1: zegar nie liczy Rst Reset Clk Zegar. POLECENIE Zapoznać się z zawartością pliku RTC.vhd Przygotowanie IPCore zegara czasu rzeczywistego Wykorzystanie w projekcie EDK własnego modułu wymaga umieszczenie jego opisu w katalogu pcores znajdującego się w każdym katalogu z projektem EDK. W katalogu pcores znajdują się elementy IPCore użytkownika i zawartość tego katalogu jest wczytywana do EDK podczas otwierania projektu. Każdy moduł użytkownika ma swój podkatalog o nazwie składającej się z nazwy modułu i numeru wersji EDK dla której był przygotowany (Np.:.\pcores\RTC_Core_v1_00_a ) W pierwszej fazie przygotowana własnego modułu IPCore współpracującego z magistrala OPB najwygodniejsze jest posłużenie się narzędziem do generowania szablonu nowo tworzonego IPCore. Narzędzie Create-Import Pheripherial ułatwia zastosowanie odpowiedniego nazewnictwa dla nowo tworzonego modułu (nazewnictwo musi uwzględniać numer wersji EDK), tworzy odpowiednia strukturę katalogów z plikami projektowymi nowego IPCore i wyręcza projektanta w wielokrotnym powielaniu typowych informacji wspólnych dla wielu IPCore. 3

4 POLECENIE: Uruchomić z menu Start->Xilinx Platform Studio 8.2i->Accessories-> Create and Import Peripheral Wizard. Wybrać kolejno: 4

5 5

6 Zaznaczyć: Bus2IP_Clk, Bus2IP_Reset, Bus2IP_Addr, Bus2IP_Data, Bus2IP_BE, Bus2IP_RdCE, Bus2IP_WrCE, Bus2IP_CS IP2Bus_Data, IP2Bus_Ack, IP2Bus_Retry, IP2Bus_Error IP2Bus_ToutSup. a następnie Finish. 6

7 W wyniku pracy narzędzia powstaje katalog rtccore_v1_00_a w katalogu pcores. Katalog zawiera podkatalogi: /data zawiera pliki MPD (opisujące sposób dołączania modułu IPCore do magistral w EDK) oraz PAO (zawiera informacje o tym jak kompilować moduł) /hdl zawiera opis modułu w języku opisu sprzętu: plik rtccore nadrzędny plik projektowy. Zawiera deklarację i konkretyzację elementów składowych IPCore a: USER_LOGIC oraz OPB_IPIF plik user_logic szablon pliku w którym znajdzie się opis pracy układu zbudowanego przez użytkownika. Plik jest wstępnie przygotowany tak aby dobrze pasował do interfacu OPB_IPIC. /devl zawiera miedzy innymi projekt ISE umożliwiający niezależną implementację IPCore Integracja elementu RTC z USER_LOGIC POLECENIE Do katalogu Projekt_Katalog\pcores\rtccore_v1_00_a\hdl\vhdl skopiować plik RTC.vhd (plik ten był zawarty w pliku MBCores.zip ściągniętym ze strony). Otworzyć wygenerwany przez Create-Import periperal projekt ISE znajdujący się w katalogu Projekt_Katalog\pcores\RTC_core\devl\projnav. Projekt ten znakomicie ułatwi wprowadzanie modyfikacji w utworzonym automatycznie szablonie. 7

8 POLECENIE Do projektu ISE dodać plik Projekt_Katalog\pcores\rtccore\hdl\vhdl\RTC.vhd. (W okienku sources klikamy prawym przyciskiem myszy i wybieramy Add Source). POLECENIE: Zmodyfikować architekturę USER_LOGIC w user_logic.vhd dodając w odpowiednie miejsca fragmenty zamieszczonego kodu (Poniższy kod źródłowy znajduje się w pliku RTC.vhi dostępnym w pliku MBCores.zip) component RTC is port ( Rst : in std_logic; --asynchronious Clk: in std_logic; --50MHz BCDHourIn: in std_logic_vector (7 downto 0); BCDMinIn: in std_logic_vector (7 downto 0); BCDSecIn: in std_logic_vector (7 downto 0); BCDHourOut: out std_logic_vector (7 downto 0); BCDMinOut: out std_logic_vector (7 downto 0); BCDSecOut : out std_logic_vector (7 downto 0); Wr: in std_logic; Stop: in std_logic); end component RTC; signal Wr: std_logic; Begin architecture process( Bus2IP_WrCE, Bus2IP_CS) begin if( Bus2IP_WrCE(0)='1' AND Bus2IP_CS(0)='1') then Wr<='1'; else Wr<='0'; end if; end process; RTC_0: RTC port map (Rst=>Bus2IP_Reset, Clk => Bus2IP_Clk, BCDHourIn=>Bus2IP_Data(8 to 15), BCDMinIn=>Bus2IP_Data(16 to 23), BCDSecIn=>Bus2IP_Data(24 to 31), BCDHourOut=>IP2Bus_Data(8 to 15), BCDMinOut=>IP2Bus_Data(16 to 23), BCDSecOut=>IP2Bus_Data(24 to 31), Wr=>Wr, Stop=>Stop); IP2Bus_Ack IP2Bus_Error IP2Bus_Retry IP2Bus_ToutSup <= Bus2IP_WrCE(0) or Bus2IP_RdCE(0); <= '0'; <= '0'; <= '0'; W ten sposób deklarujemy komponent RTC (konstrukcja component) łączymy sygnały IPIC z sygnałami modułu zegarowego RTC (konstrukcja port map) oraz zapewniamy sygnał strobu zapisu Wr (konstrukcja process). Dokonać próbnej syntezy projektu po zmianach. Usunąć ewentualne błędy. 8

9 Sygnał zewnętrzny STOP Ponieważ narzędzie Create-Import periperal nie zapewnia możliwości dodania do elementu IPIC sygnałów zewnętrznych nie będących częścią interfacu IPIC musimy takie sygnały dodać ręcznie w kodzie źródłowym elementu rtccore. Moduł zegara zawiera sygnał STOP zatrzymujący zliczanie czasu który powinien być dostępny na zewnątrz systemu/układu FPGA. POLECENIE: Wykorzystując edytor kodu vhdl wbudowany w project Navigator, kolejno dodać port stop w: rtccore entity (w pliku rtccore.vhd) entity rtccore is generic ( -- ADD USER GENERICS BELOW THIS LINE USER generics added here -- ADD USER GENERICS ABOVE THIS LINE DO NOT EDIT BELOW THIS LINE Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X" "; C_HIGHADDR : std_logic_vector := X"0000FFFF"; C_OPB_AWIDTH : integer := 32; C_OPB_DWIDTH : integer := 32; C_FAMILY : string := "virtex2p" -- DO NOT EDIT ABOVE THIS LINE ); port ( -- ADD USER PORTS BELOW THIS LINE stop : in std_logic; -- ADD USER PORTS ABOVE THIS LINE user_logic port map (w pliku rtccore.vhd) USER_LOGIC_I : entity rtccore_v1_00_a.user_logic port map ( -- MAP USER PORTS BELOW THIS LINE USER ports mapped here -- MAP USER PORTS ABOVE THIS LINE Bus2IP_Clk Bus2IP_Reset Bus2IP_Addr Bus2IP_Data Bus2IP_BE Bus2IP_CS Bus2IP_RdCE Bus2IP_WrCE IP2Bus_Data IP2Bus_Ack IP2Bus_Retry IP2Bus_Error IP2Bus_ToutSup stop => stop ); => ibus2ip_clk, => ibus2ip_reset, => ibus2ip_addr, => ubus2ip_data, => ubus2ip_be, => ubus2ip_cs, => ubus2ip_rdce, => ubus2ip_wrce, => uip2bus_data, => iip2bus_ack, => iip2bus_retry, => iip2bus_error, => iip2bus_toutsup, entity user_logic (w pliku user_logic.vhd) entity user_logic is generic 9

10 ( -- ADD USER GENERICS BELOW THIS LINE USER generics added here -- ADD USER GENERICS ABOVE THIS LINE DO NOT EDIT BELOW THIS LINE Bus protocol parameters, do not add to or delete C_AWIDTH : integer := 32; C_DWIDTH : integer := 32; C_NUM_CS : integer := 1; C_NUM_CE : integer := 1 -- DO NOT EDIT ABOVE THIS LINE ); port ( -- ADD USER PORTS BELOW THIS LINE stop : in std_logic; -- ADD USER PORTS ABOVE THIS LINE Chodzi o to, aby dodany port Stop przewędrował przez całą hierarchię projektu od elementu rtccore do elementu RTC. Dokonać próbnej syntezy projektu po zmianach. Usunąć ewentualne błędy Rysunek poniżej przedstawia sposób w jaki komponent USER_LOGIC ma być podłączony do elementu bibliotecznego OPB_IPIF. Zmiany w pliku MPD Na końcu pliku rtccore_v2_1_0.mpd ( Projekt_Katalog\pcores\rtccore_v1_00_a\data ) dodać deklarację portu użytkownika STOP: # --USER-- change to user core ports PORT stop = "", DIR = IN 10

11 Struktura katalogu z IPCore Opis modułu rtccore składa się z następujących plików: Projekt_Katalog\pcores\rtccore_v1_00_a\hdl\vhdl\RTC.vhd opis modułu RTC Projekt_Katalog\pcores\rtccore_v1_00_a\hdl\vhdl\rtccore.vhd opis modułu rtccore Projekt_Katalog\pcores\rtccore_v1_00_a\hdl\vhdl\user_logic.vhd opis modułu USER_LOGIC Projekt_Katalog\pcores\rtccore_v1_00_a\data\rtccore_v2_1_0.mpd opis zewnętrznej struktury rtccore dla potrzeb narzędzia EDK. Projekt_Katalog\pcores\rtccore_v1_00_a\data\rtccore_v2_1_0.pao lista bibliotek i elementów składowych modułu rtccore koniecznych do jego kompilacji. O ile opis pracy modułu znajduje się oczywiście w plikach VHDL to, aby możliwa była integracja z pakietem EDK konieczne są dodatkowe opisy elementu zawarte w plikach MPD (Microprocessor Peripherial Description) i PAO (Peripherial Analyze Order). Zmiany w pliku PAO Plik PAO zawiera listę elementów składowych modułu wraz z nazwami bibliotek w których się znajdują. Ponieważ przy tworzeniu logiki użytkownika korzystamy z dodatkowego modułu RTC element ten należy dopisać do biblioteki kompilatora POLECENIE: Do listy elementów w pliku PAO (Projekt_Katalog\pcores\rtccore_v1_00_a\data\rtccore_v2_1_0.pao ) dopisać elementy biblioteki rtccore: lib rtccore_v1_00_a RTC Projekt EDK Dalsza część ćwiczenia kontynuujemy w środowisku EDK. POLECENIE: Otwieramy Start->Xilinx Platform Studio 8.2i->Xilinx Platform Studio Otwieramy projektu Projekt_Katalog\system.xmp. W otwartym projekcie przystępujemy do uzupełnienia systemu z procesorem Microblaze. Nasz projekt powinien składać się z elementów zestawionych w tabeli poniżej. 11

12 Nazwa komponentu Wer. Początek przestrzeni adresowej Koniec przestrzeni adresowej microblaze 4.00b lmb_bram_if_cntlr 1.00b 0x x00001FFF lmb_bram_if_cntlr 1.00b 0x x00001FFF bram_block 1.00a opb_uartlite 1.00b 0xFFFF4000 0xFFFF40FF rtccore 1.00a 0xFFFF5000 0xFFFF50FF Zmieniamy adres bazowy RTC_core na $FFFF5000 Należy zwrócić uwagę na fakt, że element rtccore nie jest integralnym składnikiem pakietu EDK, a jego dostępność na liście w oknie dialogowym IP Catalog wynika z obecności jego opisu w katalogu pcores/rtccore_v1_00_a. Zestawione w tabeli elementy łączymy ze sobą za pośrednictwem magistrali OPB_v20. Podłączamy sygnały W zakładce ports wybieramy sygnał stop i w kolumnie net wybieramy make external (spowoduje to, że ten port będzie zewnętrzny i będzie można do niego przypisać przycisk). Następnie rozwijamy External ports i sprawdzamy nazwę zewnętrznego portu stop (będzie nam ona potrzebna przy modyfikacji pliku ucf. Modyfikacja w pliku ucf Zakładamy, że nasz system będzie dysponował następującymi sygnałami: (fragment dostarczonego pliku ucf) # # Clock Period Constraints # NET "sys_clk" PERIOD = 20 ns ; # czestotliwosc 50MHz # # IO Pad Location Constraints # NET "sys_clk" LOC = "T9"; NET "sys_rst" LOC = "M13"; #Button 0 ## RS232 Interface NET "TX" LOC = "R13"; NET "RX" LOC = "T13"; #PUSHBUTTONS NET "rtccore_0_stop_pin" LOC = "M14"; #Button 1 Ważne jest, aby zachować właściwe nazwy sygnałów w celu późniejszej zgodności z użytym plikiem ucf. 12

13 Kompilacja systemu i kodu POLECENIE Skompilować projekt Hardware->Generate Netlist. Sprawdzić, czy operacja przebiegła poprawnie. Poprawić ewentualne błędy. Program dla MB Dołączyć do projektu program procesora microblaze, znajduje się on w pliku system.c dostępnym w pliku MBCores.zip. Teraz możemy skompilować biblioteki ( najpierw zaznaczamy przy naszym programie Mark to initialize BRAMs): Software->Generate Libraries and BSPs. Następnie kompilujemy nasz program: Software->Build All user applications. Sprawdzić, czy operacja przebiegła poprawnie. Poprawić ewentualne błędy. Tworzenie pliku programującego FPGA Po poprawnej kompilacji pozostało nam już tylko wygenerowanie plików system.bit i download.bit. Aby to zrobić wybieramy: Hardware->generate bitstream; Device configuration->update bitstream. HyperTerminal Uruchomić: Start->programy->akcesoria->komunikacja->HyperTerminal i zaznaczyć właściwości jak na poniższym rysunku: 13

14 Programowanie płytki: W celu zaprogramowania płytki uruchamiamy program Impact, i programujemy ją plikiem download.bit. Uruchomić: Start->Programy->Xilinx ISE 8.2i->Accessories->iMPACT i zaznaczyć jak poniżej: 14

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW Moduły IP Core EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych Grzegorz

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Sposoby projektowania systemów w cyfrowych

Sposoby projektowania systemów w cyfrowych Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie

Bardziej szczegółowo

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW AKADEMIA GÓRNICZO-HUTNICZA im. St. Staszica w Krakowie EAIiE SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW EDK MicroBlaze Tutorial Documentation z wykorzystaniem platformy FPGA z rodziny SPARTAN3E Prowadzący: dr

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW AKADEMIA GÓRNICZO-HUTNICZA im. St. Staszica w Krakowie EAIiE SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW EDK MicroBlaze Tutorial Documentation z wykorzystaniem platformy FPGA z rodziny SPARTAN3E Prowadzący: dr

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 5 ZYNQ. Obsługa przerwań. Zespół Rekonfigurowalnych

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA Joanna Patrzyk Bartłomiej Patrzyk Katarzyna Rycerz jpatrzyk@quide.eu bpatrzyk@quide.eu kzajac@agh.edu.pl

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 8 Implementacja prostego

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Projektowanie własnego modułu na przykładzie modułów OPB_MEM oraz OPB_EPP

Projektowanie własnego modułu na przykładzie modułów OPB_MEM oraz OPB_EPP Projektowanie własnego modułu na przykładzie modułów OPB_MEM oraz OPB_EPP Autor: Zespół Rekonfigurowalnych Systemów Obliczeniowych www.fpga.agh.edu.pl Ostatnia aktualizacja: 20.05.2006 Wstęp Zadaniem tego

Bardziej szczegółowo

Projektowanie hierarchiczne Mariusz Rawski

Projektowanie hierarchiczne Mariusz Rawski CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości

Bardziej szczegółowo

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych.

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. 1. Przygotowanie środowiska programistycznego. Zajęcia będą

Bardziej szczegółowo

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 8 Temat: Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci

Bardziej szczegółowo

PRZETWORNIK USB - RS232

PRZETWORNIK USB - RS232 PRZETWORNIK USB - RS232 Przewodnik szybkiej instalacji Windows 7 DA-70159 Krok 1: Krok 2: Włożyć płytę CD ze sterownikiem do napędu CD-ROM Podłączyć urządzenie do portu USB w PC Jeśli jest dostępne połączenie

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu MMfpga01 MMfpga11 Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu 1 Spis treści 1. Instalacja aplikacji QUARTUS II Web Edition...3 2. Instalacja programu QUARTUS II Web

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Wer

Quartus. Rafał Walkowiak IIn PP Wer Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydział Elektroniki Mikrosystemów i Fotoniki Politechnika Wrocławska Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Konfiguracja układu DCM Digital

Bardziej szczegółowo

Tutorial projektowanie systemu dwuprocesorowego FPGA

Tutorial projektowanie systemu dwuprocesorowego FPGA Tutorial projektowanie systemu dwuprocesorowego FPGA Celem niniejszego tutoriala jest zapoznanie się z zagadnieniami związanymi z projektowaniem systemów wieloprocesorowych w układach FPGA. Tutorial jest

Bardziej szczegółowo

Quartus. Rafał Walkowiak IIn PP Listopad 2017

Quartus. Rafał Walkowiak IIn PP Listopad 2017 Quartus Rafał Walkowiak IIn PP Listopad 2017 Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Worek różności jak dobrać się do gotowców w Spartanach? Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 12 kwietnia 2011 Spis treści Wbudowane

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Instrukcja InPro BMS Siemens FC700A InPro Professional 4.1

Instrukcja InPro BMS Siemens FC700A InPro Professional 4.1 Instrukcja InPro BMS Siemens FC700A 1 Spis treści 1. Integracja Siemens FC700A...3 1.1. Okablowanie...3 1.2. Mikroprzełączniki S1 + S2...3 1.3. Połączenia...4 1.4. Konfiguracja centrali Siemens FC700A...5

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

PRZETWORNIK USB - RS232

PRZETWORNIK USB - RS232 PRZETWORNIK USB - RS232 Przewodnik szybkiej instalacji Windows 7 DA-70158 Krok 1: Krok 2: Włożyć płytę CD ze sterownikiem do napędu CD-ROM Podłączyć urządzenie do portu USB w PC Jeśli jest dostępne połączenie

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 11 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do wykonania wszystkich operacji związanych z przygotowaniem

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Projektowanie automatów z użyciem VHDL

Projektowanie automatów z użyciem VHDL Projektowanie automatów z użyciem VHDL struktura automatu i jego modelu w VHDL przerzutnik T jako automat przykłady automatów z wyjściami typu: Moore'a Mealy stanu kodowanie stanów automatu Wykorzystano

Bardziej szczegółowo

Microsoft.NET: LINQ to SQL, ASP.NET AJAX

Microsoft.NET: LINQ to SQL, ASP.NET AJAX Microsoft.NET: LINQ to SQL, ASP.NET AJAX Do realizacji projektu potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2008 oraz serwer bazy danych SQL Server Express 2005 (lub

Bardziej szczegółowo

2. W oknie dialogowym Choose Toolbox Items w zakładce.net Framework Components naciskamy przycisk Browse...

2. W oknie dialogowym Choose Toolbox Items w zakładce.net Framework Components naciskamy przycisk Browse... KORZYSTANIE Z KONTROLKI.NET LENDEVICERS232 DODAWANIE KONTROLKI DO ZBIORU KOMPONENTÓW DOSTĘPNYCH W PALECIE TOOLBOX (ŚRODOWISKA PROGRAMISTYCZNE FIRMY MICROSOFT) W środowisku programistycznym (Visual C++,

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy kombinacyjne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015 Co to jest układ kombinacyjny? Stan wyjść zależy tylko

Bardziej szczegółowo

1. Tworzenie integracji

1. Tworzenie integracji 04-01-19 1. Tworzenie integracji A) Informacje ogólne Tworzymy integrację ustawiając: serwer integracji; nazwę integracji; adres IP rejestratora; numer portu: 8000; dane logowania do rejestratora. W przypadku

Bardziej szczegółowo

Testowanie I. Celem zajęć jest zapoznanie studentów z podstawami testowania ze szczególnym uwzględnieniem testowania jednostkowego.

Testowanie I. Celem zajęć jest zapoznanie studentów z podstawami testowania ze szczególnym uwzględnieniem testowania jednostkowego. Testowanie I Cel zajęć Celem zajęć jest zapoznanie studentów z podstawami testowania ze szczególnym uwzględnieniem testowania jednostkowego. Testowanie oprogramowania Testowanie to proces słyżący do oceny

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

Ustalanie dostępu do plików - Windows XP Home/Professional

Ustalanie dostępu do plików - Windows XP Home/Professional Ustalanie dostępu do plików - Windows XP Home/Professional Aby edytować atrybuty dostępu do plikow/ katalogow w systemie plików NTFS wpierw sprawdź czy jest Wyłączone proste udostępnianie czyli przejdź

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

Języki opisu sprzętu VHDL Mariusz Rawski

Języki opisu sprzętu VHDL Mariusz Rawski CAD Języki opisu sprzętu VHDL rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Języki opisu sprzętu System cyfrowy może być opisany na różnych poziomach abstrakcji i z wykorzystaniem różnych sposobów

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Narzędzia i aplikacje Java EE. Usługi sieciowe Paweł Czarnul pczarnul@eti.pg.gda.pl

Narzędzia i aplikacje Java EE. Usługi sieciowe Paweł Czarnul pczarnul@eti.pg.gda.pl Narzędzia i aplikacje Java EE Usługi sieciowe Paweł Czarnul pczarnul@eti.pg.gda.pl Niniejsze opracowanie wprowadza w technologię usług sieciowych i implementację usługi na platformie Java EE (JAX-WS) z

Bardziej szczegółowo

Tomasz Greszata - Koszalin

Tomasz Greszata - Koszalin T: Wirtualizacja systemu Linux Ubuntu w maszynie wirtualnej VirtualBox. Zadanie1. Odszukaj w serwisie internetowym dobreprogramy.pl informacje na temat programu Oracle VM VirtualBox. VirtualBox to oprogramowanie

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

SYSTEMY CZASU RZECZYWISTEGO (SCR)

SYSTEMY CZASU RZECZYWISTEGO (SCR) Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania SYSTEMY CZASU RZECZYWISTEGO (SCR) Podstawy programowanie systemów wbudowanych na bazie platformy sprzętowo-programowej

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Podstawy programowania w środowisku Totally Integration Automation Portal

Podstawy programowania w środowisku Totally Integration Automation Portal GRUPA MT Temat i Autor Podstawy programowania w środowisku Totally Integration Automation Portal Krzysztof Bodzek, Arkadiusz Domoracki, Grzegorz Jarek CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 6.4.26 dr inż. Daniel Kopiec Plan wykładu Pamięć w układach programowalnych Zasada działania, podział pamięci Miara

Bardziej szczegółowo

Samsung Universal Print Driver Podręcznik użytkownika

Samsung Universal Print Driver Podręcznik użytkownika Samsung Universal Print Driver Podręcznik użytkownika wyobraź sobie możliwości Copyright 2009 Samsung Electronics Co., Ltd. Wszelkie prawa zastrzeżone. Ten podręcznik administratora dostarczono tylko w

Bardziej szczegółowo

podstawowa obsługa panelu administracyjnego

podstawowa obsługa panelu administracyjnego podstawowa obsługa panelu administracyjnego Poniższy dokument opisuje podstawowe czynności i operacje jakie należy wykonać, aby poprawnie zalogować się i administrować środowiskiem maszyn wirtualnych usługi

Bardziej szczegółowo

Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR

Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR Zadanie polega na napisaniu pierwszego programu w języku C, jego poprawnej kompilacji i wgraniu na mikrokontroler. W tym celu należy zapoznać

Bardziej szczegółowo

podstawowa obsługa panelu administracyjnego

podstawowa obsługa panelu administracyjnego podstawowa obsługa panelu administracyjnego Poniższy dokument opisuje podstawowe czynności i operacje jakie należy wykonać, aby poprawnie zalogować się i administrować środowiskiem maszyn wirtualnych usługi

Bardziej szczegółowo

Informatyka I : Tworzenie projektu

Informatyka I : Tworzenie projektu Tworzenie nowego projektu w programie Microsoft Visual Studio 2013 Instrukcja opisuje w jaki sposób stworzyć projekt wykorzystujący bibliotekę winbgi2 w programie Microsoft Visual Studio 2013. 1. Otwórz

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Zawartość. Wstęp. Moduł Rozbiórki. Wstęp Instalacja Konfiguracja Uruchomienie i praca z raportem... 6

Zawartość. Wstęp. Moduł Rozbiórki. Wstęp Instalacja Konfiguracja Uruchomienie i praca z raportem... 6 Zawartość Wstęp... 1 Instalacja... 2 Konfiguracja... 2 Uruchomienie i praca z raportem... 6 Wstęp Rozwiązanie przygotowane z myślą o użytkownikach którzy potrzebują narzędzie do podziału, rozkładu, rozbiórki

Bardziej szczegółowo