Programowalne układy logiczne

Wielkość: px
Rozpocząć pokaz od strony:

Download "Programowalne układy logiczne"

Transkrypt

1 Programowalne układy logiczne Sygnały w VHDL-u Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015

2 Typ std logic Przecież to oczywiste, że albo stan wysoki ( 1 ), albo niski ( 0 ).

3 Typ std logic Przecież to oczywiste, że albo stan wysoki ( 1 ), albo niski ( 0 ). Ależ skąd! U niezainicjowany 0 stan niski 1 stan wysoki Z wysoka impedancja (ang. floating, high-z, tri-stated) X stan nieustalony L stan niski, słaby H stan wysoki, słaby W stan nieustalony, słaby - cokolwiek, używany np. w funkcji std match

4 Stan wysoki Vcc 1 GND

5 Stan niski Vcc 0 GND

6 Stan wysokiej impedancji Vcc Z GND Drut wisi. Jeśli jest używany jako wejście w układzie cyfrowym, często wyłapuje zakłócenia z otoczenia i jego wartość logiczna zmienia się.

7 Stan nieustalony Vcc X GND To się nazywa katastrofa.

8 Stan wysoki, słaby Vcc pull-up H GND

9 Stan niski, słaby Vcc L pull-down GND

10 Stan nieustalony, słaby Vcc W GND Tutaj nie ma żadnej katastrofy.

11 Zagadka entity zagadka is port(x: out std_logic); end entity zagadka; architecture zagadkowa of zagadka is begin x <= Z ; x <= 1 ; end architecture zagadkowa;

12 Sygnały z wielokrotnymi źródłami Vcc Z 1 1 GND

13 Sygnały z wielokrotnymi źródłami Vcc L H H H W (?) GND

14 Sygnały z wielokrotnymi źródłami Vcc L H H H 0 0 GND

15 Sygnały z wielokrotnymi źródłami Vcc H Z 0 Z 0 GND

16 Wyjście z otwartym drenem entity open_drain_output is port(x: in std_logic; y: out std_logic); end entity open_drain_output; architecture simple of open_drain_output is begin y <= 0 when x = 1 else Z ; end architecture simple;

17 Wyjście z otwartym drenem Vcc y x GND

18 Szyna Vcc BUS y 1 y 2 y 3 GND

19 Szyna Vcc BUS x 1 x 2 x 3 GND

20 Szyna Vcc BUS x 1 x 2 x 3 GND Patrz np.

21 Szyna architecture whatever of main is component PULLUP port (O: out std_logic); end component; signal bus: std_logic; begin bus_pullup: PULLUP port map (bus); d1: entity work.mydevice1 port map (..., bus,...); d2: entity work.mydevice2 port map (..., bus,...); d3: entity work.mydevice3 port map (..., bus,...); end architecture whatever;

22 Wersja UCF Rezystory podciągające oraz ściągające można także definiować w pliku UCF. NET "bus" LOC = "L1" PULLUP; W obydwu przypadkach wymagane jest, żeby układ docelowy miał możliwość sterowania rezystorami podciągającymi i ściągającymi. Na koniec warto wiedzieć, że rezystorów tych można używać jedynie dla sygnałów wyprowadzanych na zewnątrz układu. FPGA nie posiadają schowanych rezystorów, dostępnych tylko w środku.

23 Inny przykład: bufor trójstanowy X OE Y X H Z H L H L L L entity tristate_buffer is port(x: in std_logic; noe: in std_logic; Y: out std_logic); end entity tristate_buffer; architecture simple of tristate_buffer is begin Y <= X when noe = 0 else Z ; end architecture simple;

24 Kierunki sygnałów w VHDL-u in wejście out wyjście entity E is port(x: out std_logic); end E; architecture a of E is signal y: std_logic; begin x <= 1 ; y <= x; -- ERROR (out cannot be read) end architecture a; buffer wyjście buforowane, dwustanowe entity E is port(x: buffer std_logic); end E; architecture a of E is begin x <= 1 ; assert x = 1 ; -- OK end architecture a;

25 Kierunki sygnałów w VHDL-u inout wejście-wyjście (trójstanowe) entity E is port(x: inout std_logic); end E; architecture a of E is signal x_in, x_out, x_en: std_logic; begin x <= x_out when x_en = 1 else Z ; x_in <= x end architecture a;

26 Hazardy (przypomnienie z architektury)

27 Hazard w układach kombinacyjnych 1.21 x 0 = 1 x 1 = 1 q 0 = a q 1 = a y = x a = 1 a a q 0 q 1 y

28 Bramka antyhazardowa 1.22 x 0 = 1 x 1 = 1 q 0 = a q 1 = a y = x a = 1 a s = 0 a q 0 q 1 s y

29 Bonus: parametry czasowe podzespołów 74HC_HCT377_CNV_2.pdf

30 INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS Logic Package Outlines 74HC/HCT377 Octal D-type flip-flop with data enable; positive-edge trigger Product specification File under Integrated Circuits, IC06 December 1990

31 December Philips Semiconductors Octal D-type flip-flop with data enable; positive-edge trigger Product specification 74HC/HCT377 FEATURES Ideal for addressable register applications Data enable for address and data synchronization applications Eight positive-edge triggered D-type flip-flops See 273 for master reset version See 373 for transparent latch version See 374 for 3-state version Output capability: standard ICC category: MSI GENERAL DESCRIPTION The 74HC/HCT377 are high-speed Si-gate CMOS devices and are pin compatible with low power Schottky TTL (LSTTL). They are specified in compliance with JEDEC standard no. 7A. The 74HC/HCT377 have eight edge-triggered, D-type flip-flops with individual D inputs and Q outputs. A common clock (CP) input loads all flip-flops simultaneously when the data enable (E) is LOW. The state of each D input, one set-up time before the LOW-to-HIGH clock transition, is transferred to the corresponding output (Qn) of the flip-flop. The E input must be stable only one set-up time prior to the LOW-to-HIGH transition for predictable operation. QUICK REFERENCE DATA GND = 0 V; Tamb =25 C; tr =tf= 6 ns TYPICAL SYMBOL PARAMETER CONDITIONS UNIT HC HCT tphl/ tplh propagation delay CP to Qn CL = 15 pf; VCC = 5 V ns fmax maximum clock frequency MHz CI input capacitance pf CPD power dissipation capacitance per flip-flop notes 1 and pf Notes 1. CPD is used to determine the dynamic power dissipation (PD in µw): PD =CPD VCC 2 fi + (CL VCC 2 fo) where: fi = input frequency in MHz fo = output frequency in MHz (CL VCC 2 fo) = sum of outputs CL = output load capacitance in pf VCC = supply voltage in V 2. For HC the condition is VI = GND to VCC For HCT the condition is VI = GND to VCC 1.5 V ORDERING INFORMATION See 74HC/HCT/HCU/HCMOS Logic Package Information.

32 December Philips Semiconductors Octal D-type flip-flop with data enable; positive-edge trigger Product specification 74HC/HCT377 PIN DESCRIPTION PIN NO. SYMBOL NAME AND FUNCTION 1 E data enable input (active LOW) 2, 5, 6, 9, 12, 15, 16, 19 Q0 to Q7 flip-flop outputs 3, 4, 7, 8, 13, 14, 17, 18 D0 to D7 data inputs 10 GND ground (0 V) 11 CP clock input (LOW-to-HIGH, edge-triggered) 20 VCC positive supply voltage Fig.1 Pin configuration. Fig.2 Logic symbol. Fig.3 IEC logic symbol.

33 December Philips Semiconductors Octal D-type flip-flop with data enable; positive-edge trigger Product specification 74HC/HCT377 FUNCTION TABLE OPERATING MODES INPUTS OUTPUTS CP E Dn Qn load 1 l h H load 0 l l L hold (do nothing) h X no change X H X no change Notes 1. H = HIGH voltage level h = HIGH voltage level one set-up time prior to the LOW-to-HIGH CP transition L = LOW voltage level I = LOW voltage level one set-up time prior to the LOW-to-HIGH CP transition = LOW-to-HIGH CP transition X = don t care Fig.4 Functional diagram. Fig.5 Logic diagram.

34 December Philips Semiconductors Octal D-type flip-flop with data enable; positive-edge trigger Product specification 74HC/HCT377 DC CHARACTERISTICS FOR 74HC For the DC characteristics see 74HC/HCT/HCU/HCMOS Logic Family Specifications. Output capability: standard ICC category: MSI AC CHARACTERISTICS FOR 74HC GND = 0 V; tr =tf= 6 ns; CL =50pF Tamb ( C) TEST CONDITIONS 74HC SYMBOL PARAMETER UNIT WAVEFORMS VCC to to +125 (V) min. typ. max. min. max. min. max. tphl/ tplh propagation delay ns 2.0 Fig.6 CP to Qn tthl/ ttlh output transition time ns 2.0 Fig tw clock pulse width ns 2.0 Fig.6 HIGH or LOW tsu set-up time ns 2.0 Fig.7 Dn to CP tsu set-up time ns 2.0 Fig.7 E to CP th hold time ns 2.0 Fig.7 Dn to CP th hold time ns 2.0 Fig.7 E to CP fmax maximum clock pulse MHz 2.0 Fig.6 frequency

35 December Philips Semiconductors Octal D-type flip-flop with data enable; positive-edge trigger Product specification 74HC/HCT377 DC CHARACTERISTICS FOR 74HCT For the DC characteristics see 74HC/HCT/HCU/HCMOS Logic Family Specifications. Output capability: standard ICC category: MSI Note to HCT types The value of additional quiescent supply current ( ICC) for a unit load of 1 is given in the family specifications. To determine ICC per input, multiply this value by the unit load coefficient shown in the table below. INPUT E CP Dn UNIT LOAD COEFFICIENT AC CHARACTERISTICS FOR 74HCT GND = 0 V; tr =tf= 6 ns; CL =50pF Tamb ( C) TEST CONDITIONS 74HCT SYMBOL PARAMETER UNIT WAVEFORMS VCC to to +125 (V) min. typ. max. min. max. min. max. tphl/ tplh propagation delay ns 4.5 Fig.6 CP to Qn tthl/ ttlh output transition time ns 4.5 Fig.6 tw tsu tsu th th fmax clock pulse width HIGH or LOW set-up time Dn to CP set-up time E to CP hold time Dn to CP hold time E to CP maximum clock pulse frequency ns 4.5 Fig ns 4.5 Fig ns 4.5 Fig ns 4.5 Fig ns 4.5 Fig MHz 4.5 Fig.6

36 December Philips Semiconductors Octal D-type flip-flop with data enable; positive-edge trigger Product specification 74HC/HCT377 AC WAVEFORMS (1) HC : VM = 50%; VI = GND to VCC. HCT : VM = 1.3 V; VI = GND to 3 V. Fig.6 Waveforms showing the clock (CP) to output (Qn) propagation delays, the clock pulse width, output transition times and the maximum clock pulse frequency. The shaded areas indicate when the input is permitted to change for predictable output performance. (1) HC : VM = 50%; VI = GND to VCC. HCT : VM = 1.3 V; VI = GND to 3 V. Fig.7 Waveforms showing the data set-up and hold times from the data input (Dn) and from the data enable input (E) to the clock (CP). PACKAGE OUTLINES See 74HC/HCT/HCU/HCMOS Logic Package Outlines.

37 Zadanie 1b (1) Zaimplementuj układ kombinacyjny. Układ ma parametr n, będący liczbą całkowitą nieujemną. Układ ma n wejść danych: input(n - 1),..., input(1), input(0), dwubitowe wejście fun określające funkcję układu, jednobitowe wejście enable, n wyjść: output(n - 1),..., output(1), output(0).

38 Zadanie 1b (2) Jeśli enable = 0, na wszystkich wyjściach jest 0. Jeśli enable = 1 i fun = "00", układ realizuje funkcję minimum. Na wyjściu output(idx) jest 1, gdy wejście input(idx) ma najmniejszy indeks idx wśród tych wejść, na których jest 1. Na pozostałych wyjściach jest 0. Jeśli enable = 1 i fun = "01", układ realizuje funkcję maksimum. Na wyjściu output(idx) jest 1, gdy wejście input(idx) ma największy indeks idx wśród tych wejść, na których jest 1. Na pozostałych wyjściach jest 0.

39 Zadanie 1b (3) Jeśli enable = 1 i fun = "10", układ realizuje funkcję mediany. Na wyjściu output(idx) jest 1, gdy indeks idx jest medianą indeksów wejść, na których jest 1. Jeśli 1 jest na nieparzystej liczbie wejść, to 1 jest dokładnie na jednym wyjściu. Jeśli 1 jest na parzystej liczbie wejść, to 1 jest dokładnie na dwóch wyjściach. Na pozostałych wyjściach jest 0. Jeśli enable = 1 i fun = "11", układ realizuje funkcję sumy. Na wyjściach output(idx - 1),..., output(0) jest 1, gdy na idx wejściach jest 1. Na pozostałych wyjściach jest 0.

40 Zadanie 1b (4) Zaimplementuj jednostkę demonstrującą użycie powyższego układu z parametrem n = 8. Jako wejść input użyj przełączników bistabilnych sw. Jako wyjść output użyj diod świecących led. Do wygenerowania sygnałów fun i enable użyj przycisków monostabilnych: btn(0) minimum, btn(1) maksimum, btn(2) mediana, btn(3) suma.

41 Zadanie 1b (5) Dodatkowo niech jedna z cyfr wyświetlacza 7-segmentowego wyświetla liczbę (od 0 do 8) włączonych przełączników bistabilnych sw. Ponadto, gdy użytkownik wcisnął więcej niż jeden przycisk btn, zamiast tej liczby powinna się wyświetlać litera E (ang. error). Napisz automatyczne testy dla zaimplementowanych jednostek projektowych. Oczekujemy, że rozwiązanie będzie odzwierciedlało strukturę układu i występujące w nim sygnały. Oczekujemy, że zostanie zaprojektowany jeden układ, a nie połączone ze sobą cztery układy, każdy realizujący jedną funkcję. Czas na oddanie rozwiązania do 17 listopada 2015 r.

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy kombinacyjne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015 Co to jest układ kombinacyjny? Stan wyjść zależy tylko

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

LCD (Liquid Crystal Display)

LCD (Liquid Crystal Display) LCD (Liquid Crystal Display) Polarizing filter. Thin film with a vertical ais. Liquid crystal Polarizing filter. Thin film with a horizontal ais. Polarizing filter. Thin film with a horizontal ais. Polarizing

Bardziej szczegółowo

OPBOX ver USB 2.0 Mini Ultrasonic Box with Integrated Pulser and Receiver

OPBOX ver USB 2.0 Mini Ultrasonic Box with Integrated Pulser and Receiver OPBOX ver.0 USB.0 Mini Ultrasonic Box with Integrated Pulser and Receiver Przedsiębiorstwo BadawczoProdukcyjne OPTEL Sp. z o.o. ul. Morelowskiego 30 PL59 Wrocław phone: +8 7 39 8 53 fax.: +8 7 39 8 5 email:

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Cyfrowe układy kombinacyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy kombinacyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy kombinacyjne 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy kombinacyjne X1 X2 X3 Xn Y1 Y2 Y3 Yn Układy kombinacyjne charakteryzuje funkcja, która każdemu stanowi wejściowemu X i X jednoznacznie

Bardziej szczegółowo

Projektowanie automatów z użyciem VHDL

Projektowanie automatów z użyciem VHDL Projektowanie automatów z użyciem VHDL struktura automatu i jego modelu w VHDL przerzutnik T jako automat przykłady automatów z wyjściami typu: Moore'a Mealy stanu kodowanie stanów automatu Wykorzystano

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Worek różności jak dobrać się do gotowców w Spartanach? Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 12 kwietnia 2011 Spis treści Wbudowane

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

LED PAR 56 7*10W RGBW 4in1 SLIM

LED PAR 56 7*10W RGBW 4in1 SLIM LED PAR 56 7*10W RGBW 4in1 SLIM USER MANUAL Attention: www.flash-butrym.pl Strona 1 1. Please read this specification carefully before installment and operation. 2. Please do not transmit this specification

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Modelowanie złożonych układów cyfrowych (1)

Modelowanie złożonych układów cyfrowych (1) Modelowanie złożonych układów cyfrowych () funkcje i procedury przykłady (przerzutniki, rejestry) style programowania kombinacyjne bloki funkcjonalne bufory trójstanowe multipleksery kodery priorytetowe

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 4: FPGA odsłona druga technologie i rodziny układów logicznych

Technika Cyfrowa 2 wykład 4: FPGA odsłona druga technologie i rodziny układów logicznych Technika Cyfrowa 2 wykład 4: FPGA odsłona druga technologie i rodziny układów logicznych Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Elementy poważniejsze

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 2 (3h) Przełączniki, wyświetlacze, multipleksery - implementacja i obsługa w VHDL Instrukcja pomocnicza do laboratorium

Bardziej szczegółowo

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55 Układy cyfrowe Funkcje logiczne AND A B X = A B... 2/55 Funkcje logiczne OR A B X = A + B NOT A A... 3/55 Twierdzenia algebry Boole a A + B = B + A A B = B A A + B + C = A + (B+C( B+C) ) = (A+B( A+B) )

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

LED WASHER 30x3W WHITE IP65 F

LED WASHER 30x3W WHITE IP65 F USER MANUAL / INSTRUKCJA OBSŁUGI LED WASHER 30x3W WHITE IP65 F7200171 LED WASHER 30x3W WHITE IP65 F7200171 Table of contents 1 Introduction... 2 2 Safety information... 2 3 Product information... 2 3.1

Bardziej szczegółowo

Laboratorium mikrokontrolerów

Laboratorium mikrokontrolerów Akademia Górniczo-Hutnicza w Krakowie Katedra Elektroniki WIET Laboratorium mikrokontrolerów Ćwiczenie 4A Klawiatura matrycowa - projekt Autor: Paweł Russek http://www.fpga.agh.edu.pl/pm ver. 23.10.16

Bardziej szczegółowo

Specjalizowane układy analogowe. przykłady nieliczne z ogromnej grupy wybrane

Specjalizowane układy analogowe. przykłady nieliczne z ogromnej grupy wybrane Układy scalone c.d. Specjalizowane układy analogowe przykłady nieliczne z ogromnej grupy wybrane Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały

Bardziej szczegółowo

LED WALL WASHER 36x3W RGBW 3SC IP65 F

LED WALL WASHER 36x3W RGBW 3SC IP65 F USER MANUAL / INSTRUKCJA OBSŁUGI LED WALL WASHER 36x3W RGBW 3SC IP65 F7200168 LED WALL WASHER 36x3W RGBW 3SC IP65 F7200168 Table of contents 1 Introduction... 2 2 Safety information... 2 3 Product information...

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Projektowanie hierarchiczne Mariusz Rawski

Projektowanie hierarchiczne Mariusz Rawski CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości

Bardziej szczegółowo

LED PAR 56 7x10 4in1 RGBW F

LED PAR 56 7x10 4in1 RGBW F USER MANUAL / INSTRUKCJA OBSŁUGI LED PAR 56 7x10 4in1 RGBW F7100311 LED PAR 56 7x10W 4in1 RGBW F7100311 Table of Contents 1 Introduction... 2 2 Safety information... 2 3 Product information... 2 3.1 Specification...

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Building Technologies

Building Technologies PAVIRO PL DECLARATION OF PERFORMANCE DEKLARACJA WŁAŚCIWOŚCI UŻYTKOWYCH English... 1 Polski... 5 PAVIRO PL... 9 DECLARATION OF PERFORMANCE No. F01U298639.CNB.14 (EC DoP EN54-16 PAVIRO PL) 1. Unique identification

Bardziej szczegółowo

LED PAR 64 18x10W 4in1 CLASSIC F

LED PAR 64 18x10W 4in1 CLASSIC F LED PAR 64 18x10W 4in1 CLASSIC F7100309 USER MANUAL / INSTRUKCJA OBSŁUGI LED PAR 64 18x10W 4in1 CLASSIC F7100309 Table of Contents 1 Introduction... 2 2 Safety information... 2 3 Product information...

Bardziej szczegółowo

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD 1. Wstęp i cel ćwiczenia W ćwiczeniu student tworzy barierę podczerwieni złożoną z diody nadawczej IR (Infra

Bardziej szczegółowo

Iskrobezpieczne Bariery Zenera

Iskrobezpieczne Bariery Zenera Iskrobezpieczne Bariery Zenera Intrinsic Safety Barriers Bariery Zenera Barriers Iskrobezpieczne bariery Zenera z serii MM... są najprostszym rodzajem iskrobezpiecznego interfejsu przeznaczonego do zabezpieczenia

Bardziej szczegółowo

LED PAR 18x10W RGBW 4in1 Aluminum single cast II ver. F

LED PAR 18x10W RGBW 4in1 Aluminum single cast II ver. F LED PAR 18x10W RGBW 4in1 Aluminum single cast II ver. F71000269 USER MANUAL / INSTRUKCJA OBSŁUGI LED PAR 18x 10W RGBW 4in1 Aluminium single cast II ver. F71000269 Table of Contents 1 Introduction... 2

Bardziej szczegółowo

LED WASHER RGB IP34 F

LED WASHER RGB IP34 F USER MANUAL / INSTRUKCJA OBSŁUGI LED WASHER RGB IP34 F7200182 LED WASHER RGB IP34 F7200182 Table of contents 1 Introduction... 2 2 Safety information... 2 3 Product information... 2 3.1 Specification...

Bardziej szczegółowo

i pakietu programowego PALASM 4

i pakietu programowego PALASM 4 i pakietu programowego PALASM 4 - 2 -! "# logicznych PAL i GAL; $!# #% programowego PALASM 4.!" & "!&' (! ))!*+ $!," # (!) )# )!*+ -!," # (!!*+.!,% %(!!*! #!, #+ $!&# 0+ -!,%##nazwa.pds# # % '# #'"# %#+

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

Przeciwpożarowe sterowniki dla bram zwijanych, sekcyjnych i przesuwnych. Fire-proof controls for roller shutters, sectional doors and sliding gates

Przeciwpożarowe sterowniki dla bram zwijanych, sekcyjnych i przesuwnych. Fire-proof controls for roller shutters, sectional doors and sliding gates Przeciwpożarowe napędy bram Charakterystyka Characteristics Fire-proof door drives 36 Przeciwpożarowe sterowniki dla bram zwijanych, sekcyjnych i przesuwnych Fire-proof controls for roller shutters, sectional

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

EtherNet/IP. 2 porty nadrzędne IO-Link klasy A Obudowa wzmacniana włóknem szklanym

EtherNet/IP. 2 porty nadrzędne IO-Link klasy A Obudowa wzmacniana włóknem szklanym EtherNet/IP Zintegrowany switch ethernetowy Obsługa prędkości transmisji 10 Mbps / 100 Mbps Dwa męskie złącza M12, 4-pinowe, kodowanie D, podłączenie sieci Ethernet Złącza męskie 7/8", 5-pinowe, podłączenie

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group Użycie złącza JTAG w systemach mikroprocesorowych do testowania integralności połączeń systemu oraz oprogramowania zainstalowanego w pamięciach stałych. JTAG Joint Test Action Group mgr inż. Tadeusz Andrzejewski

Bardziej szczegółowo

Układy reprogramowalne i SoC Testbenches. Symulacja sterowana zdarzeniami.

Układy reprogramowalne i SoC Testbenches. Symulacja sterowana zdarzeniami. Testbenches. Symulacja sterowana zdarzeniami. Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń -

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydział Elektroniki Mikrosystemów i Fotoniki Politechnika Wrocławska Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Konfiguracja układu DCM Digital

Bardziej szczegółowo

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 8 Temat: Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci

Bardziej szczegółowo

Zbudować 2wejściową bramkę (narysować schemat): a) NANDCMOS, b) NORCMOS, napisać jej tabelkę prawdy i wyjaśnić działanie przy pomocy charakterystyk

Zbudować 2wejściową bramkę (narysować schemat): a) NANDCMOS, b) NORCMOS, napisać jej tabelkę prawdy i wyjaśnić działanie przy pomocy charakterystyk Zbudować 2wejściową bramkę (narysować schemat): a) NANDCMOS, b) NORCMOS, napisać jej tabelkę prawdy i wyjaśnić działanie przy pomocy charakterystyk przejściowych użytych tranzystorów. NOR CMOS Skale integracji

Bardziej szczegółowo

Specjalizowane układy analogowe przykłady nieliczne z ogromnej grupy wybrane

Specjalizowane układy analogowe przykłady nieliczne z ogromnej grupy wybrane Układy scalone c.d. Specjalizowane układy analogowe przykłady nieliczne z ogromnej grupy wybrane Ryszard J. Barczyński, 2012 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały

Bardziej szczegółowo

CENNIK (bazowy) INWERTERY SIECIOWE FOTTON PLATINIUM

CENNIK (bazowy) INWERTERY SIECIOWE FOTTON PLATINIUM CENNIK (bazowy) PRICE LIST (basic) INWERTERY SIECIOWE FOTTON PLATINIUM On grid FOTTON PLATINIUM INVERTERS FOTTON PLATINUM inwertery-s FOTTON PLATINUM S-Inverters FOTTON PLATINUM 2100 S jednofazowy with

Bardziej szczegółowo

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego część II Wstęp W ramach zajęć przedstawione zostaną

Bardziej szczegółowo

LED PAR 64 7x10W RGBW 4in1 ABS Remote Control F

LED PAR 64 7x10W RGBW 4in1 ABS Remote Control F LED PAR 64 7x10W RGBW 4in1 ABS Remote Control F7100303 USER MANUAL / INSTRUKCJA OBSŁUGI LED PAR 64 7x10W RGBW 4in1 ABS Remote Control F7100303 Table of Contents 1 Introduction... 2 2 Safety information...

Bardziej szczegółowo

Systemy Wbudowane. Arduino - rozszerzanie. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD

Systemy Wbudowane. Arduino - rozszerzanie. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD Wymagania: V, GND Zasilanie LED podswietlenia (opcjonalne) Regulacja kontrastu (potencjometr) Enable Register Select R/W (LOW) bity szyny danych Systemy Wbudowane Arduino - rozszerzanie mgr inż. Marek

Bardziej szczegółowo

LED PAR 56 Slim 18X3W RGB Aura F7000253a

LED PAR 56 Slim 18X3W RGB Aura F7000253a USER MANUAL / INSTRUKCJA OBSŁUGI LED PAR 56 Slim 8XW RGB Aura F700025a LED PAR 56 Slim 8XW RGB Aura F700025a Table of contents Introduction... 2 2 Safety information... 2 Product information... 2. Specification...

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Języki opisu sprzętu VHDL Mariusz Rawski

Języki opisu sprzętu VHDL Mariusz Rawski CAD Języki opisu sprzętu VHDL rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Języki opisu sprzętu System cyfrowy może być opisany na różnych poziomach abstrakcji i z wykorzystaniem różnych sposobów

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Typ VFR. Circular flow adjustment dampers for the adjustment of volume flow rates and pressures in supply air and extract air systems

Typ VFR. Circular flow adjustment dampers for the adjustment of volume flow rates and pressures in supply air and extract air systems Typ VFR FOR THE RELIABLE BALANCING OF VOLUME FLOW RATES Circular flow adjustment dampers for the adjustment of volume flow rates and pressures in supply air and extract air systems Each flow adjustment

Bardziej szczegółowo

Systemy wbudowane. Poziomy abstrakcji projektowania systemów HW/SW. Wykład 9: SystemC modelowanie na różnych poziomach abstrakcji

Systemy wbudowane. Poziomy abstrakcji projektowania systemów HW/SW. Wykład 9: SystemC modelowanie na różnych poziomach abstrakcji Systemy wbudowane Wykład 9: SystemC modelowanie na różnych poziomach abstrakcji Poziomy abstrakcji projektowania systemów HW/SW 12/17/2011 S.Deniziak:Systemy wbudowane 2 1 Model czasu 12/17/2011 S.Deniziak:Systemy

Bardziej szczegółowo

Uwaga: dioda na wyjściu 13 świeci gdy na wyjście podamy 0.

Uwaga: dioda na wyjściu 13 świeci gdy na wyjście podamy 0. Podstawowe funkcje sterowania pinami cyfrowymi pinmode(8, OUTPUT); //ustawienie końcówki jako wyjście pinmode(8, INPUT); // ustawienie końcówki jako wejście pinmode(8, INPUT_PULLUP); // ustawienie końcówki

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

RM4LG01M przekaznik kontroli poziomu cieczy RM4-L - 220

RM4LG01M przekaznik kontroli poziomu cieczy RM4-L - 220 Dane produktu Charakterystyki Uzupełnienie RM4LG01M przekaznik kontroli poziomu cieczy RM4-L - 220 240 V AC Główne Gama produktów Typ produktu lub komponentu Typ przekaźnika Nazwa przekaźnika Parametry

Bardziej szczegółowo

Tuber radio BT MA407. Instrukcja obsługi User s Manual

Tuber radio BT MA407. Instrukcja obsługi User s Manual Tuber radio BT MA407 Instrukcja obsługi User s Manual User s Manual MA407 INSTRUCTIONS...4 BASIC...4 TROUBLESHOOTING...5 DATA TRANSFERRING / CHARGING VIA USB CABLE...5 INTERFACES...5 SPECIFICATIONS...6

Bardziej szczegółowo

W transmisji interfejsem TWI uczestniczy układ nadrzędny (MASTER) oraz jeden lub więcej układów podrzędnych (SLAVE).

W transmisji interfejsem TWI uczestniczy układ nadrzędny (MASTER) oraz jeden lub więcej układów podrzędnych (SLAVE). Interfejs TWI Interfejs TWI (two wire interface) jest to interfejs szeregowy, synchroniczny w pełni zgodny z popularnym I 2 C firmy Philips, a firma Atmel używa innej nazwy, aby nie łamać praw patentowych.

Bardziej szczegółowo

Przetworniki. Przetworniki / Transducers. Transducers. Przetworniki z serii PNT KON PNT CON Series Transducers

Przetworniki. Przetworniki / Transducers. Transducers. Przetworniki z serii PNT KON PNT CON Series Transducers Przetworniki Transducers Przetworniki z serii PNT KON PNT CON Series Transducers Właściwości techniczne / Features Przetworniki napięcia, prądu, częstotliwości, mocy z serii PNT KON PNT CON Series transducer

Bardziej szczegółowo

Wyświetlacz siedmiosegmentowy

Wyświetlacz siedmiosegmentowy Wyświetlacz siedmiosegmentowy autorzy: Tomasz Perek Tomasz Biernat Projekt: Układ, który liczbę podaną w postaci binarnej wyświetla w systemie szesnastkowym, ósemkowym oraz dziesiętnym. Wyświetlacz siedmiosegmentowy

Bardziej szczegółowo

BN1520 BN1521 BN1522 FAMOR S.A. ROZDZIELNICA ŒWIATE NAWIGACYJNYCH I SYGNALIZACYJNYCH NAVIGATION AND SIGNAL LIGHT CONTROL PANELS EDITION 07/04

BN1520 BN1521 BN1522 FAMOR S.A. ROZDZIELNICA ŒWIATE NAWIGACYJNYCH I SYGNALIZACYJNYCH NAVIGATION AND SIGNAL LIGHT CONTROL PANELS EDITION 07/04 EDITION 07/04 ROZDZIELNICA ŒWIATE NAWIGACYJNYCH I SYGNALIZACYJNYCH BN1520 BN1521 BN1522 Rozdzielnica BN1520-8 latarñ BN1520-8-way panel Rozdzielnica BN1520-12 latarñ BN1520-12-way panel Rozdzielnica BN1521-13

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

RE11RMMU przekaźnik czasowy opóźniający 10-funkcyjny - 1 s..100 h V AC - 1 OC

RE11RMMU przekaźnik czasowy opóźniający 10-funkcyjny - 1 s..100 h V AC - 1 OC Dane produktu Charakterystyki Główne Rodzina produktów Typ produktu lub komponentu Typ wyjścia dyskretnego Nazwa składnika Rodzaj opóźnienia Zakres opóźnienia [Us] znamionowe napięcie zasilania Znamionowy

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

LED PAR 18x10W RGBW 4in1 IP65 F

LED PAR 18x10W RGBW 4in1 IP65 F LED PAR 18x10W RGBW 4in1 IP65 F7100310 USER MANUAL / INSTRUKCJA OBSŁUGI LED PAR 18x10W RGBW 4in1 IP65 F7100310 Table of contents 1 Introduction... 2 2 Safety information... 2 2.1 Specification... 2 3 Installation...

Bardziej szczegółowo

Instrukcja obsługi miernika zużycia energii. Operating Instructions for Power Meter

Instrukcja obsługi miernika zużycia energii. Operating Instructions for Power Meter POL SKI Instrukcja obsługi miernika zużycia energii Operating Instructions for Power Meter 406-074 PL EN Instrukcja obsługi w oryginale Operating instructions in original 20.06.2011 Jula AB POLSKI ZASADY

Bardziej szczegółowo

Język HDL - VERILOG. (Syntetyzowalna warstwa języka) Hardware Description Language Krzysztof Jasiński PRUS PRUS

Język HDL - VERILOG. (Syntetyzowalna warstwa języka) Hardware Description Language Krzysztof Jasiński PRUS PRUS Język HDL - VERLOG Hardware Description Language (Syntetyzowalna warstwa języka) RUS RUS VERLOG rzegląd zagadnień RUS RUS prowadzenie do języka Reprezentacja układu cyfrowego w Verilogu opis strukturalny

Bardziej szczegółowo

LED WALL WASHER 9x10W RGBW 4in1 DMX WIFI + ACU IP65 F a

LED WALL WASHER 9x10W RGBW 4in1 DMX WIFI + ACU IP65 F a LED WALL WASHER 9x10W RGBW 4in1 DMX WIFI + ACU IP65 F7001013a USER MANUAL / INSTRUKCJA OBSŁUGI LED WALL WASHER 9x10W RGBW 4in1 DMX WIFI + ACU IP65 F7001013a Table of contents 1 Introduction... 2 2 Safety

Bardziej szczegółowo

Integracja z systemem Nagios

Integracja z systemem Nagios Integracja z systemem Nagios 1. WSTĘP Nagios jest programem do monitorowania sieci, urządzeń sieciowych, aplikacji oraz serwerów działający w systemach Linux i Unix rozpowszechniany na podstawie licencji

Bardziej szczegółowo

2 bezpieczne wejścia cyfrowe 2 uniwersalne bezpieczne wejścia lub wyjścia cyfrowe 4 uniwersalne wejścia lub wyjścia dwustanowe

2 bezpieczne wejścia cyfrowe 2 uniwersalne bezpieczne wejścia lub wyjścia cyfrowe 4 uniwersalne wejścia lub wyjścia dwustanowe Urządzenie podrzędne PROFINET Zintegrowany switch ethernetowy Obsługa prędkości transmisji 100 Mbps 2x złącze M12, 4-pinowe, kodowanie D, do podłączenia sieci Ethernet 7/8" connector for power supply,

Bardziej szczegółowo

PowerFlow Sundial: 7 $ 0 & Avanc Compatible 8 & - & & 9 & -. ,! " #$%& ' ()$%& * & +, - <.! + . / & = & ! / - 4.

PowerFlow Sundial: 7 $ 0 & Avanc Compatible 8 & - & & 9 & -. ,!  #$%& ' ()$%& * & +, - <.! + . / & = & ! / - 4. PowerFlow Sundial: Avanc Compatible,,. Tel: 01, @, www..com Introduction PowerFlo Main Switch Sundial M 1 2 www.powerflowenergy.com Sundial ilithium Phosphate www.powerflowenergy.com Sundial M 1 2 3 7

Bardziej szczegółowo

Fixtures LED HEDRION

Fixtures LED HEDRION K A R T Y K ATA L O G O W E Fixtures LED HEDRION Oprawy lampy LED Hedrion do zastosowań profesjonalnych Fixtures LED lamps Hedrion for professional applications NATRIUM Sp. z o.o. ul. Grodziska 15, 05-870

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec Programowanie Układów Logicznych kod kursu: ETD6203 Komunikacja z układami cyfrowymi W5 30.03.2016 dr inż. Daniel Kopiec Plan wykładu 1 2 3 4 5 6 Standard komunikacji RS232 Enkoder obrotowy Wyświetlacz

Bardziej szczegółowo

ATX 2005 POWER SUPPLY PWM SUPERVISOR

ATX 2005 POWER SUPPLY PWM SUPERVISOR POWER SUPPLY PWM SUPERVISOR Układ scalony kontroli zasilacza PWM (z modulacją szerokości impulsu) Specyfikacja (wersja polska) Wersja 1.0 Luty 2005 Tłumaczenie z chińskiego DSC Andrzej Józef Majewski,

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 8 Implementacja prostego

Bardziej szczegółowo

Układy reprogramowalne i SoC Specjalizowane moduły FPGA

Układy reprogramowalne i SoC Specjalizowane moduły FPGA Specjalizowane moduły FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój

Bardziej szczegółowo

VHDL. Behawioralny Strukturalny Czasowy. Poziom RTL

VHDL. Behawioralny Strukturalny Czasowy. Poziom RTL Style opisu VHDL VHDL Behawioralny Strukturalny Czasowy Równania boolowskie Poziom RTL Przebieg czasowy c = a v b c

Bardziej szczegółowo

Rev Źródło:

Rev Źródło: KAmduino UNO Rev. 20190119182847 Źródło: http://wiki.kamamilabs.com/index.php/kamduino_uno Spis treści Basic features and parameters... 1 Standard equipment... 2 Electrical schematics... 3 AVR ATmega328P

Bardziej szczegółowo

POWERTECH SYSTEMY CENTRALNEJ BATERII CENTRAL BATTERY SYSTEMS

POWERTECH SYSTEMY CENTRALNEJ BATERII CENTRAL BATTERY SYSTEMS MIDI CONTROL 5300 Wh MULTI CONTROL 24000 Wh MINI CONTROL 1500 Wh MICRO CONTROL 500 Wh 660x350x230 1100x500x230 900x600x450 lub 1800x600x450 bez baterii 900x600x450 or 1800x600x450 no batteries 1950x600x450

Bardziej szczegółowo

1 Wstęp. 2 Proste przykłady. 3 Podstawowe elementy leksykalne i typy danych. 6 Opis strukturalny. 7 Moduł testowy (testbench)

1 Wstęp. 2 Proste przykłady. 3 Podstawowe elementy leksykalne i typy danych. 6 Opis strukturalny. 7 Moduł testowy (testbench) Wstęp SYSTEMY WBUDOWANE Układy kombinacyjne c Dr inż. Ignacy Pardyka UNIWERSYTET JANA KOCHANOWSKIEGO w Kielcach Rok akad. 2011/2012 c Dr inż. Ignacy Pardyka (Inf.UJK) Systemy wbudowane Rok akad. 2011/2012

Bardziej szczegółowo

HC541 8-bitowy bufor jednokierunkowy HC245 8-bitowy bufor dwukierunkowy HC244 dwa 4-bitowe bufory jednokierunkowe

HC541 8-bitowy bufor jednokierunkowy HC245 8-bitowy bufor dwukierunkowy HC244 dwa 4-bitowe bufory jednokierunkowe Bufory (BUFFER) Bufory stosuje się po to by: - zwiększyć obciążalność magistrali - chronić układ wysokiej skali integracji - sterować przepływem danych HC541 8-bitowy bufor jednokierunkowy HC245 8-bitowy

Bardziej szczegółowo

Kierunek EiT Specjalność Sieci i usługi, V rok Programowalne Układy Cyfrowe. Zaawansowany VHDL. Rajda & Kasperek 2014 Katedra Elektroniki AGH 2

Kierunek EiT Specjalność Sieci i usługi, V rok Programowalne Układy Cyfrowe. Zaawansowany VHDL. Rajda & Kasperek 2014 Katedra Elektroniki AGH 2 Kierunek EiT Specjalność Sieci i usługi, V rok Programowalne Układy Cyfrowe Zaawansowany VHDL Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Program wykładu Opis strukturalny map, generate Pojęcia leksykalne

Bardziej szczegółowo

Rev Źródło:

Rev Źródło: KAmodNFC Rev. 20190119185550 Źródło: http://wiki.kamamilabs.com/index.php/kamodnfc Spis treści Basic features and parameters... 1 Standard equipment... 2 Electrical schematics... 3 View of PCB... 4 Output

Bardziej szczegółowo

Mikrokontrolery AVR Wprowadzenie

Mikrokontrolery AVR Wprowadzenie Mikrokontrolery AVR Wprowadzenie Komunikacja z otoczeniem mikrokontrolera Każdy z mikrokontrolerów posiada pewna liczbę wyprowadzeń cyfrowych które służą do wprowadzania i odbierania informacji z mikrokontrolera.

Bardziej szczegółowo

Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie. Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II

Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie. Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego część II Wstęp W ramach zajęć przedstawione zostaną różne sposoby

Bardziej szczegółowo