Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie. Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II

Wielkość: px
Rozpocząć pokaz od strony:

Download "Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie. Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II"

Transkrypt

1 Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego część II

2 Wstęp W ramach zajęć przedstawione zostaną różne sposoby projektowania przerzutników (flip flops) począwszy od najprostszego przerzutnika wyposażonego jedynie w wejście synchronizujące (zegarowe CLK), wejście danych () i wyjście danych (Q), skończywszy na przerzutnikach wyposażonych w synchroniczne i asynchroniczne wejścia sterujące (zerujące /CLEAR, ustawiające /P oraz zezwalające ). 2

3 1. Elementy sekwencyjne Proszę uruchomić środowisko projektowe ISE klikając dwukrotnie przyciskiem myszy na ikonie znajdującej się na pulpicie systemu Windows. W narzędziu ISE proszę założyć nowy projekt o nazwie Projekt2. (Przypomnienie) Aby założyć nowy projekt, proszę wybrać opcję New Project z me File. nu File New Project 3

4 W pierwszym oknie czarodzieja proszę stosownie nazwać projekt i podać ścieżkę dostępu do niego, Name: Projekt2 Location: C:\MyXilinx\Projekt2 (przykładowo) odatkowo proszę umieścić prosty opis projektu w polu escription. np. Projekt zawierać będzie podstawowe, synchroniczne elementy sekwencyjne. Następnie proszę kliknąć na przycisku Next. Next 4

5 W drugim oknie czarodzieja proszę dopilnować poprawnego wyboru układu FPGA, w którym projekt ma zostać zaimplementowany. (Przypomnienie) Family Artix 7 evice XC7A100T Package CSG324 Speed 2 Resztę opcji proszę pozostawić bez zmian i kliknąć na przycisku Next. Next W ostatnim, trzecim oknie czarodzieja, proszę kliknąć na przycisku Finish. 5

6 1.1. Elementarny przerzutnik typu Przerzutnik typu jest bodajże najszerzej rozpowszechnionym i najczęściej stosowanym przerzutnikiem ze wszystkich. Jego funkcjonalność sprowadza się do przepisania na wyjście Q stanu wejścia w momencie wystąpienia zbocza narastającego/opadającego synchronizującego sygnału zegarowego CLK. Proszę dodać nowy moduł VHL o nazwie dff do projektu. Moduł powinien posiadać dwa porty wejściowe ( i CLK) oraz jeden port wyjściowy (Q). (Przypomnienie) Aby dodać nowy moduł do projektu, proszę w oknie ISE kliknąć n a przycisku New Source. W oknie czarodzieja proszę zaznaczyć VHL Module i nazwać go stosownie, VHL Module File name: dff po czym proszę kliknąć na przycisku Next. Next 6

7 W drugim oknie czarodzieja proszę zdefiniować porty wejścia/wyjścia przerzutnika typu. Mo dwa porty wejściowe (CLK i ) oraz jeden port wyjściowy (Q). duł powinien posiadać (Przypomnienie) Port Name: in CLK in Q out Po wprowadzeniu nazw portów, proszę kliknąć na przycisku Next. Next W ostatnim oknie czarodzieja proszę kliknąć na przycisku Finish. Moduł dff opisywać będzie synchroniczny przerzutnik typu wyzwalany zboczem narastającym sygnału zegarowego CLK. Opis jego architektury w języku VHL zamieszczono poniżej. Po zaznajomieniu się z nim (stosowne słowo komentarza umieszczono poniżej), proszę skopiować go w odpowiednie miejsce w pliku dff.vhd. Synchroniczność pracy przerzutnika typu wyraża się poprzez uzależnienie całego procesu od zmian stanu wejścia zegarowego CLK. W przypadku wystąpienia zbocza narastającego w sygnale CLK (funkcja rising edge), na wyjście Q przepisana zostanie wartość z wejścia. W pozostałych przypadkach, wartość na wyjściu Q nieulegnie zmianie (stan pamiętania ostatniego stanu wyjściowego). Przerzutnik jest elementarnym cyfrowym elementem z pamięcią. architecture Behavioral of dff is begin process(clk) begin if(rising_edge(clk)) then Q <= ; end if; 7

8 end process; end Behavioral; Plik po wprowadzeniu wszystkich zmian proszę zapisać, a następnie przeprowadzić jego syntezę w celu sprawdzenia poprawności opi modułu w języku su VHL. (Przypomnienie) Synthesize XST Wykorzystując czarodzieja proszę dodać do projektu test bench w języku VHL i nazwać go stosownie. (Przypomnienie) New Source VHL Test Bench File name: testb_dff Location: C:\MyXilinx\Projekt2 Po wszystkim proszę kliknąć na przycisku Next. Next 8

9 W następnym oknie czarodzieja proszę kliknąć na przycisku Next. Ostatnie okno stanowi podsumowanie do nowoutworzonego pliku test bencha. Proszę kliknąć na przycisku Finish. Nowoutworzony plik zostanie automatycznie otwarty w oknie głównym aplikacji ISE. Proszę go zmienić w taki sposób, by wyglądał podobnie jak wzorzec zamieszczony poniżej. W pierwszej kolejności proszę zdefiniować okres przebiegu zegarowego period, jako wynoszący 10 [ns]. Następnie proszę stosownie zmienić zawartość procesu CLK_process i usunąć wszystkie nadmiarowe linijki kodu stworzone przez czarodzieja. Należy jeszcze opisać serię wymuszeń na wejściu przerzutnika. Po wprowadzeniu wszystkich zmian plik należy zapisać. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY testb_dff IS EN testb_dff; ARCHITECTURE behavior OF testb_dff IS -- Component eclaration for the Unit Under Test (UUT) COMPONENT dff PORT( : IN std_logic; CLK : IN std_logic; Q : OUT std_logic ); EN COMPONENT; BEGIN --Inputs signal : std_logic := '0'; signal CLK : std_logic := '0'; --Outputs signal Q : std_logic; -- Clock period definitions constant period : time := 10 ns; -- Instantiate the Unit Under Test (UUT) uut: dff PORT MAP ( =>, CLK => CLK, Q => Q ); -- Clock process definitions CLK_process : process begin CLK wait for period/2; CLK wait for period/2; end process; 9

10 -- Stimulus process stim_proc: process begin wait; end process; EN; wait for 2 ns; wait for 2 ns; wait for 2 ns; Po przełączeniu widoku okna drzewa projektu z implementacji na symulację, proszę ustawić czas symulacji na 50 [ns] i uruchomić symulator ISim. (Przypomnienie) Process Properties Simulation Run Time 50 ns Simulate Behavioral Model Proszę dopasować szerokość przebiegów do wielkości okna symulatora (Zoom to Full View). Uzyskany widok powinien przypominać przebiegi zamieszczone poniżej. Przez pierwszych 5 [ns] na wyjściu Q panuje stan nieustalony. Przyczyną jego wystąpienia jest brak zdefiniowanego stanu wyjścia Q przed pojawieniem się zbocza narastającego sygnału zegarowego CLK (brak zdefiniowanego stanu początkowego). Tuż po pojawieniu się pierwszego zbocza narastającego (5 [ns]), na wyjście Q zostaje przerzucony stan z wejścia (w tym przypadku wartość 0 ). Wartość ta utrzymuje się do czasu wystąpienia następnego narastającego zbocza zegarowego (15 [ns]). Ponieważ wartość na wejściu wynosi wtedy 1, toteż na wyjściu Q wystawiony zostaje stan wysoki. Krótkotrwałe wystąpienie stanów 0 (20 22 [ns]) i 1 (22 24 [ns]) przed kolejnym zboczem narastającym sygnału zegarowego, nie wpływa w żaden sposób na stan wyjścia Q. UWAGA! W rzeczywistym układzie reprogramowalnym prawdopodobieństwo pojawienia się stanu nieustalonego w początkowej fazie jego działania jest bardzo niewielkie. zieje się tak ze 10

11 względ u na występowanie tzw. Power On Reset, czyli operacji zerowania wszystkich elementów elektronicznych w momencie załączenia zasilania układu. Zmieniając zawartość jednej linii kodu VHL opisującej przerzutnik typu można wyeliminować całkowicie zjawisko występowania stanu niesutalonego na wyjściu Q przerzutnika przed pojawieniem się pierwszego zbocza zegarowego, oraz wszystkie nieporządane konsekwencje jakie stan te mo że za sobą pociągnąć zarówno na poziomie symulacji, jak i sprzętowej implementacji. W pliku dff.vhd proszę odszukać entity modułu dff i dodać do linii opisującej port wyjściowy Q zerowy warunek początkowy. Q : out ST_LOGIC := '0' Po zapisaniu wprowadzonej zmiany proszę zapisać plik dff.vhd, a następnie ponownie uruchomić symulację. Uzyskane wyniki powinny przypominać te przedstawione na rysunku poniżej. Zgodnie z oczekiwaniami dodanie warunku początkowego wyeliminowało stan nieustalony na wyjściu Q przed wystąpieniem pierwszego zbocza narastającego w sygnale zegarowym CLK. 11

12 1.2. Przerzutnik typu z asynchronicznymi wejściami sterującymi Proszę dodać nowy, pusty moduł VHL o nazwie dff_a do projektu. Pusty moduł tworzy się niemal identycznie, jak prezentowano to do tej pory. Różnica objawia się w drugim oknie czarodzieja, gdzie nie definiuje się żadnych portów, a po prostu klika na przycisk Next. o entity modułu dff_a proszę skopiować definicję portów z entity modułu dff, a następnie poszerzyć je o trzy porty wejściowe CLEAR, P i. Poprawną definicję entity modułu dff_a przedstawionio poniżej. entity dff_a is Port ( : in ST_LOGIC; CLK : in ST_LOGIC; : in ST_LOGIC; CLEAR : in ST_LOGIC; P : in ST_LOGIC; Q : out ST_LOGIC:= '0'); end dff_a; o architektury modułu dff_a proszę skopiować zawartość architektury modułu dff, a następnie uzależnić process od zmian stanu na wejściach, CLEAR i P. Asynchroniczność dodatkowych wejść sterujących wymusza konieczność uzależnienia pracy modułu dff_a nie tylko od zmian stanu na wejściu zegarowym CLK, ale również od zmian stanów na wejściach sterujących. Jednocześnie każde z wejść pracuje niezależnie i nie wpływa w żaden sposób na pracę pozostałych. architecture Behavioral of dff_a is begin process(clk, CLEAR, P) begin if(rising_edge(clk)) then Q <= ; end if; end process; end Behavioral; Proszę zdefiniować warunek zerowania przerzutnika w momencie pojawienia się stanu wysokiego na jego wejściu CLEAR. Zerowanie przerzutnika powinno być operacją nadrzędną w stosunku do wszystkich pozostałych jego trybów pracy. W drugiej kolejności proszę zdefiniować warunek wystawienia na wyjściu przerzutnika stanu wysokiego (warunek ustawienia) w momencie wystąpienia stanu wysokiego na wejściu P. Ustawianie powinno być drugim w hierarchii ważności trybem pracy przerzutnika. Proszę zdefiniować warunek normalnej pracy przerzutnika, jako pojawienie się na wejściu zezwalającym stanu 1. Najniższy priorytet powinien posiadać normalny tryb pracy przerzutnika, a więc przepisywanie wartości z wejścia na wyjście Q w momencie wystąpienia narastającego zbocza w przebiegu synchronizującym CLK. Warunek ten został zdefinio wany poprawnie w module dff i nie powinien być zmieniany. Referencyjną zawartość procesu głównego asynchronicznego przerzutnika typu przedstawiono poniżej. 12

13 if(clear = '1') then Q else if(p = '1') then Q else if(rising_edge(clk)) then if( = '1') then Q <= ; end if; end if; end if; end if; Moduł proszę zsyntezować w celu weryfikacji poprawności jego składni. Proszę utworzyć nowy test bench dla modułu dff_a, przystosować go do symulacji działania testowanego modułu i zdefiniować w nim serię wymuszeń, które umożliwią dokładną obserwację różnych trybów pracy przerzutnika typu z asynchronicznymi wejściami sterującymi. Przykład wymuszeń umieszczono poniżej. -- Stimulus process stim_proc: process begin CLEAR P wait for period*1.25; CLEAR P CLEAR P CLEAR P CLEAR P CLEAR P 13

14 wait; end process; CLEAR P Po uruchomieniu test bencha wyniki symulacji powinny przypominać te umieszczone poniżej. Brak stanu wysokiego na wejściu zezwalającym wstrzymuje normalną pracę przerzutnika na wyjściu Q utrzymuje się stan poprzedni (sprzed obecnego zbocza narastającego). Stan wysoki tylko na wejściu P wymusza stan wysoki na wyjściu Q przerzutnika. Stan wysoki na wejściu CLEAR wymusza stan niski na wyjściu Q przerzutnika. Nadrzędność wejścia CLEAR nad wejściem P widać pomiędzy 42 a 52 [ns] symulacji, kiedy to na wyjściu Q pojawia się stan niski, pomimo stanu wysokiego na wejściu P. Stan wysoki na wejściu uaktywnia przerzutnik. W 55 [ns] przy narastającym zboczu sygnału zegarowego CLK z wejścia zostaje przepisany stan wysoki na wyjście Q. Trwa on do momentu pojawienia się stanu wysokiego na wejściu zerującym CLEAR. Wejścia CLEAR oraz P sterują pracą przerzutnika asynchronicznie, tzn. odpowiedź na wymuszenie podane na któreś z tych wejść pojawia się natychmiastowo, niezależnie od zegara CLK, na wyjściu Q. 14

15 1.3. Przerzutnik typu z synchronicznymi wejściami sterującymi Proszę dodać nowy, pusty moduł VH L o nazwie dff_s do projektu. Prócz standardowych portów przerzutnika typu (, CLK, Q) entity modułu dff_s zawierać powinno definicję wejść sterujących, i. Poprawną definicję entity modułu dff_s przedstawionio poniżej. UWAGA! Funkcja synchronicznego portu jest identyczną z funkcją asynchronicznego portu CLEAR (zerowanie przerzutnika), podobnie jak funkcja synchronicznego portu jest identyczna z funkcją asynchronicznego portu P (ustawianie przerzutnika). Różnica nazw portów jest zwyczajowa i ma na celu podkreślenie ich synchroniczności. entity dff_s is Port ( CLK Q end dff_s; : in ST_LOGIC; : in ST_LOGIC; : in ST_LOGIC; : in ST_LOGIC; : in ST_LOGIC; : out ST_LOGIC:= '0'); o architektury modułu dff_s proszę skopiować zawartość architektury modułu dff. Proces powinien być zależny tylko i wyłącznie od zmian stanów na linii zegarowej CLK. Przekłada się to na pracę wszystkich portów przerzutnika synchronizowaną zegarem CLK. Wzorując się na projekcie przerzutnika typu z asynchronicznymi wejściami sterującymi, proszę opisać architekturę przerzutnika typu z synchronicznymi wejściami sterującymi. Wzorcowy kod w języku VHL przedstawiono poniżej. Nadrzędnym warunkiem pracy przerzutnika z synchronicznymi wejściami sterującymi jest wystąpienie narastającego zbocza na wejściu zegarowym CLK. opiero po wystąpieniu takiego zdarzenia interpretowane są stany pozostałych portów wejściowych. Podobnie jak w przypadku przerzutnika z asynchronicznymi wejściami sterującymi, tutaj również sygnał zerujący () ma najwyższy priorytet spośród wszystkich wejść sterujących. Sygnał ustawiający () ma priorytet niższy od sygnału zerującego, ale wyższy od sygnału zezwalającego (). Przepisanie stanu z wejścia na wyjście Q następuje jedynie w przypadku, gdy wystąpiło zbocze narastające sygnału zegarowego CLK, na wejście zezwalające podany jest stan logiczny 1, a pozostałe wejścia sterujące są nieaktywne ( 0 ). UWAGA! Priorytet wejść sterujących jest sprawą czysto umowną i może być zupełnie dowolny. Jedynie od projektanta zależy, które z wejść sterujących będzie posiadać najwyższy priorytet, a które najniższy. Projektując moduł z wejściami sterującymi należy pamiętać, że brak poprawnie zdefiniowanego szeregu instrukcji warunkowych if skutkować może nieoczekiwanym zachowaniem układu (np. ten sam priorytet wejść sterujących i przy jednoczesnym podaniu na oba wejścia wartości 1 doprowadzi do sprzecznej sytuacji, w której na wyjściu miałby się pojawić jednocześnie stan 0 i 1 ). if(rising_edge(clk)) then if( = '1') then Q else 15

16 if( = '1') then Q else if( = '1') then Q <= ; end if; end if; end if; end if; Moduł proszę zsyntezować w celu weryfikacji poprawności jego składni. Proszę utworzyć nowy test bench dla modułu dff_s, przystosować go do symulacji i zdefiniować w nim serię wymuszeń identyczną jak w przypadku test bencha dla modułu dff_a. Przykład wymuszeń umieszczono poniżej. -- Stimulus process stim_proc: process begin wait for period*1.25; 16

17 wait; end process; Po uruchomieniu test bencha wyniki symulacji powinny przypominać te umieszczone poniżej. Brak stanu wysokiego na wejściu zezwalającym wstrzymuje normalną pracę przerzutnika na wyjściu Q utrzymuje się stan poprzedni (sprzed obecnego zbocza narastającego). Stan wysoki tylko na wejści wymusza stan wysoki na wyjściu Q przerzutnika, ale dopiero od momentu wystąpienia zbocza narastającego na linii CLK. Stan wysoki na wejściu wymusza stan niski na wyjściu Q przerzutnika, ale podobnie jak w przypadku wejścia, dopiero począwszy od najbliższego zbocza narastającego sygnału taktującego. Nadrzędność wejścia nad wejściem widać pomiędzy 45 a 55 [ns] symulacji, kiedy to na wyjściu Q pojawia się stan niski, pomimo stanu wysokiego na wejściu. Stan wysoki na wejściu uaktywnia przerzutnik. W 55 [ns] przy narastającym zboczu sygnału zegarowego CLK z wejścia zostaje przepisany stan wysoki na wyjście Q. Trwa on do momentu pojawienia się pierwszego zbocza narastającego po wystąpieniu stanu wysokiego na wejściu zerującym. Wejścia oraz sterują pracą przerzutnika synchronicznie, tzn. odpowiedź na wymuszenie podane na któreś z tych wejść pojawia się dopiero po wystąpienia zbocza narastającego sygnału CLK. UWAGA! Obecność wejścia zezwalającego z punktu widzenia programisty może wydawać się zbyteczna, jednak z punktu widzenia projektanta systemów cyfrowych port jest nieodzownym elementem prawie każdego modułu synchronicznego. Podobnie (a)synchronizm wejść sterujących może wydawać się sprawą nieistotą. W rzeczywistości użycie modułów sekwencyjncyh z asynchronicznym trybem pracy może angażować więcej zasobów logicznych FPGA. Z tego też względu zaleca się stosować moduły z synchronicznymi wejściami sterującymi. * Studentów zachęca się do zapoznania się z materiałem dostępnym pod adresem : oraz publikacjami Xilinx: wp275 oraz wp272 (zamieszczone w dodatkowych materiałach na stronie przedmiotu). Należy to jednak uczynić po wykonaniu tego ćwiczenia. 17

18 Wizualizacja wyników jest bardzo ważnym elementem każdej symulacji, jednak poszukiwanie miejsca i przyczyny błędu w ten sposób może być niekiedy bardzo męczące. Zwłaszcza gdy liczba wyświetlonych przebiegów jest znacząca. Z pomocą przychodzą wtedy projektantowi poznane już asercje, raporty i komunikaty wyświetlane na standardowym urządzeniu wejścia/wyjścia (w przypadku symulatora ISim jest to okno konsoli). Proszę zmodyfikować główny proces test bencha w taki sposób, by przypominał ten zamieszczony poniżej. -- Stimulus process stim_proc: process variable Message variable edge_current : line; : time; begin edge_current := NOW; write(message, LF); write(message, string'(" Simulation started ")&LF); write(message, string'("reset starts at ")); write(message, edge_current); write(message, string'(". ")); write(message, string'("clock is disabled.")&lf); write(message, string'(" ")); writeline(output, Message); wait for period*1.25; edge_current := NOW; write(message, string'(" ")&lf); write(message, string'("clock enabled at ")); write(message, edge_current); write(message, string'(". ")); write(message, string'("reset is still enabled.")&lf); write(message, string'(" ")); writeline(output, Message); edge_current := NOW; write(message, string'(" ")&lf); write(message, string'("set enabled at ")); write(message, edge_current); write(message, string'(". ")); write(message, string'("reset is disabled.")&lf); write(message, string'(" ")); writeline(output, Message); 18

19 assert Q /= '0' report "Synchronous reset works" severity NOTE; assert Q /= '1' report "Synchronous reset does not work" severity ERROR; wait; report "Simulation finished with failure" severity FAILURE; end process; W nagłówku pliku proszę również dołączyć bibliotekę odpowiedzialną za obsługę standardowych urzędzeń wejścia/wyjścia. library ST; use ST.TEXTIO. all; Po wprowadzeniu zmian plik proszę zapisać i uruchomić ponownie symulację. Proszę zwrócić uwagę na komunikaty zwracane w oknie konsoli ISim. Przyglądając się zawartości pliku test bencha znaleźć można szereg nieznanych do tej pory funkcji, typów i konstrukcji. Zostaną one teraz omówione dokładniej. 19

20 W celu wysłania komunikatów do urządzenia wejścia/wyjścia użyto polecenia writeline. Aby móc go użyć należy wpierw zdefiniować zmienną (tak, by jej wartość była uaktualniana natychmiast wewnątrz procesu) typu line (typ ten odnosi się do linii urządzenia wejścia/wyjścia w tym przypadku linii w oknie konsoli). Pierwszym argumentem jaki przyjmuje polecenie writeline jest wskaźnik do urządzenia wejścia/wyjścia, na którym komunikat ma zostać wyświetlony (output standardowe urządzenie wejścia/wyjścia monitor). rugi argument to w artość/wielkość/komunikat, jaki ma zostać wyświetlony. W przypadku konstrukcji writeline(output, Message); będzie to zmienna Message. Zmienna ta pozostaje pusta tak długo, jak długo nie przypisze się do niej jakichś wartości. Służy temu polecenie write przyjmujące dwa argumenty zmienną i treść, jaka do tej zmiennej ma zostać przypisana. Na przykład konstrukcja write(message, LF); oznacza przypisanie do zmiennej Message znaku LF oznaczającego rozpoczęcie nowej, pustej linii (efekt równoznaczny z wciśnięciem klawisza ENTER na klawiaturze). Widoczna w kilku liniach konstrukcja string'(" xxx ") mogłaby zostać zredukowana do samego " xxx ", jednak jej użycie zapewnia poprawną konwersję znaków. Operator & pełni taką samą funkcję jak podczas kontatenacji bitów, a więc skleja ze sobą dwa polecenia, tak że konstukcja write(message, string'(" Simulation started ")&LF); prowadzi do przypisania do zmiennej Message komunikatu Simulation started oraz rozpoczęcia nowej linii. Z każdym kolejnym użyciem funkcji write zmienna Message zostaje rozbudowana o szereg kolejnych znaków/wartości. Następuje więc swoista agregacja znaków trwająca tak długo, aż względem zmiennej Message nie zostanie użyte polecenie writeline "opróżniające" jej zawartość. Cechą, która odróżnia komunikaty od asercji i raportow jest możliwość wypisania przy pomocy komunikatów wartości poszczególnych stałych, zmiennych, sygnałów, itp. W ten sposób podawana jest np. informacja odnośnie aktualnego czasu symulacji. o zmiennej edge_current poleceniem edge_current := NOW; przypisany zostaje aktualny czas symulacji. Wartość ta zostaje następnie wpisana do zmiennej Message przy pomocy komunikatu write(message, edge_current); Polecenie report służy do wyświetlenia ciągu znaków i może posiadać jeden z 4 poziomów ważności (severity levels), tj. notatka (NOTE), ostrzeżenie (WARNING), porażka (FAILURE) i błąd (ERROR). W przypadku wystąpienia tego ostatniego symulacja zostaje natychmiast przerwana. Asercja (assert) jest rodzajem raportu, który wyświetlany jest warunkowo. Jeśli warunek asercji nie jest spełniony, wyświetlony zostaje komunikat raportu (z zachowaniem poziomu ważności). W przedstawionym przykładzie sprawdzana jest poprawność działania synchronicznego portu zerującego. 2. Uruchamianie projektu na płycie z układem Artix 7 Wykonując kroki 5 8 z instrukcji "Wprowadzenie do laboratorium komputerowego" zaimplementuj przerzutnik z synchronicznymi wejściami sterującymi. 20

21 W pliku ucf należy wybrać odpowiednie piny układu FPGA, tak by możliwe było fizyczne sprawdzenie działania układu. Sugeruje się wykorzystanie linii z serii "User Switches" (patrz ucf) do podłączania por tów,,, oraz linii z serii "User Buttons" do podłączenia sygnału zegarowe go CLK*. Możliwe są również inne sposoby podłączenia. Ten punkt wprowadzenia jest ważny, gdyż będzie one realizowany każdorazowo dla wszystkich projektów implementowanych w ramach kolejnych zajęć komputerowych. Studenci będą w ramach implementowanych projektów określać sposób testowania mo w układzie FPGA, co się wiąże z dobrem właściwych wyprowadzeń na płycie z dułu układem Artix 7. * net "CLK" dopięty do przycisków przy implementacji będzie zgłaszać błąd informujący o próbie podłączenie do niededykowanej linii zegarowej. Można go obejść umieszczając w pliku ucf: NET "CLK" CLOCK_EICATE_ROUTE = FALSE ; 21

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego część II Wstęp W ramach zajęć przedstawione zostaną

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat: Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych SPIS TREŚCI 1. Wymagania...3

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego http://www.fpga.agh.edu.pl 1. Wstęp Celem niniejszego

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Projektowanie automatów z użyciem VHDL

Projektowanie automatów z użyciem VHDL Projektowanie automatów z użyciem VHDL struktura automatu i jego modelu w VHDL przerzutnik T jako automat przykłady automatów z wyjściami typu: Moore'a Mealy stanu kodowanie stanów automatu Wykorzystano

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows XP

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows XP Instrukcja ręcznej konfiguracji połączenia z Internetem przez UWAGA modem ED77 w systemie Windows XP wersja 1.0 Niniejsza instrukcja nie opisuje sposobu i przebiegu instalacji sterowników urządzenia. W

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

Układy reprogramowalne i SoC Testbenches. Symulacja sterowana zdarzeniami.

Układy reprogramowalne i SoC Testbenches. Symulacja sterowana zdarzeniami. Testbenches. Symulacja sterowana zdarzeniami. Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń -

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR

Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR Zadanie polega na napisaniu pierwszego programu w języku C, jego poprawnej kompilacji i wgraniu na mikrokontroler. W tym celu należy zapoznać

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Symulacje inwertera CMOS

Symulacje inwertera CMOS Rozdział: Przygotowanie środowiska Symulacje inwertera CMOS * punktu opcjonalne 1 Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse)*. 2. Otwórz konsole wykonując następujące kroki*

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3.

Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3. Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3. Jak umieszcza się komentarze w pliku symulacyjnym PSPICE? 4.

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Języki opisu sprzętu VHDL Mariusz Rawski

Języki opisu sprzętu VHDL Mariusz Rawski CAD Języki opisu sprzętu VHDL rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Języki opisu sprzętu System cyfrowy może być opisany na różnych poziomach abstrakcji i z wykorzystaniem różnych sposobów

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Laboratorium Systemów SCADA

Laboratorium Systemów SCADA Laboratorium Systemów SCADA Ćwiczenie 4. Tworzenie skryptów w programie InTouch Opracował: dr hab. inż. Sebastian Dudzik 1. Cel ćwiczenia Zapoznanie się z rodzajami skryptów w programie InTouch. Zapoznanie

Bardziej szczegółowo

Pojedyncze wartości zadeklarowanego typu Ustawiane przed rozpoczęciem symulacji bez moŝliwości

Pojedyncze wartości zadeklarowanego typu Ustawiane przed rozpoczęciem symulacji bez moŝliwości Stałe - constant Pojedyncze wartości zadeklarowanego typu Ustawiane przed rozpoczęciem symulacji bez moŝliwości późniejszych zmian Deklarowane w ciele architektury Widoczne dla całej architektury architecture

Bardziej szczegółowo

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows 2000

Instrukcja ręcznej konfiguracji połączenia z Internetem przez. modem ED77 w systemie Windows 2000 Instrukcja ręcznej konfiguracji połączenia z Internetem przez UWAGA modem ED77 w systemie Windows 2000 wersja 1.0 Niniejsza instrukcja nie opisuje sposobu i przebiegu instalacji sterowników urządzenia.

Bardziej szczegółowo

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie Laboratorium Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie programowalnym FPGA. 1. Zasada działania algorytmów Algorytm Vernam a wykorzystuje funkcję

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

Programowanie w języku Python. Grażyna Koba

Programowanie w języku Python. Grażyna Koba Programowanie w języku Python Grażyna Koba Kilka definicji Program komputerowy to ciąg instrukcji języka programowania, realizujący dany algorytm. Język programowania to zbiór określonych instrukcji i

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

1 Moduł Neuronu Cyfrowego

1 Moduł Neuronu Cyfrowego 1 Moduł Neuronu Cyfrowego Moduł Neuronu Cyfrowego daje użytkownikowi Systemu Vision możliwość obsługi fizycznych Neuronów Cyfrowych. Dzięki temu możliwe jest sterowanie zewnętrznymi urządzeniami wykonawczymi

Bardziej szczegółowo

Elementy języka VHDL. obiekty typy danych atrybuty pakiety i biblioteki instrukcje współbieżne instrukcje sekwencyjne. PUE-w3 1

Elementy języka VHDL. obiekty typy danych atrybuty pakiety i biblioteki instrukcje współbieżne instrukcje sekwencyjne. PUE-w3 1 Elementy języka VHDL obiekty typy danych atrybuty pakiety i biblioteki instrukcje współbieżne instrukcje sekwencyjne PUE-w3 1 Obiekty (sygnały, zmienne, stałe, pliki) Obiekty służą do zapisu i pamiętania

Bardziej szczegółowo

Odczyt zegara ze sterownika do panelu serii TIU z możliwością korekty ustawień zegara w sterowniku

Odczyt zegara ze sterownika do panelu serii TIU z możliwością korekty ustawień zegara w sterowniku Informator Techniczny nr 12 -- styczeń 2001 -- INFORMATOR TECHNICZNY GE FANUC Odczyt zegara ze sterownika do panelu serii TIU z możliwością korekty ustawień zegara w sterowniku Program w sterowniku W sterowniku

Bardziej szczegółowo

CoDeSys 3 programowanie w języku drabinkowym LD

CoDeSys 3 programowanie w języku drabinkowym LD Notatka Aplikacyjna NA 03004PL Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Tworzenie projektu i dodawanie programu w LD... 3 3. Organizacja okien dla języka LD... 5 4. Składnia języka LD

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

1 Moduł Neuronu Cyfrowego SM

1 Moduł Neuronu Cyfrowego SM 1 Moduł Neuronu Cyfrowego SM Moduł Neuronu Cyfrowego SM daje użytkownikowi Systemu Vision możliwość obsługi fizycznych urządzeń Neuronów Cyfrowych podłączonych do Sterownika Magistrali. Moduł odpowiada

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Cyfrowe Przetwarzanie Obrazów i Sygnałów

Cyfrowe Przetwarzanie Obrazów i Sygnałów Cyfrowe Przetwarzanie Obrazów i Sygnałów Laboratorium EX0 Wprowadzenie Joanna Ratajczak, Wrocław, 2018 1 Cel i zakres ćwiczenia Celem ćwiczenia jest zapoznanie się ze środowiskiem Matlab/Simulink wraz

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 8 Implementacja prostego

Bardziej szczegółowo

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI MIKROPROCESOROWEJ 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

Projektowanie Scalonych Systemów Wbudowanych VERILOG

Projektowanie Scalonych Systemów Wbudowanych VERILOG Projektowanie Scalonych Systemów Wbudowanych VERILOG OPIS BEHAWIORALNY proces Proces wątek sterowania lub przetwarzania danych, niezależny w sensie czasu wykonania, ale komunikujący się z innymi procesami.

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia.

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Spis treści 1. Instalacja 2. Konfiguracja 3. Pomiar 4. Zarządzanie danymi 1. Instalacja. W celu rozpoczęcia pracy z urządzeniem FastTracer

Bardziej szczegółowo

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD 1. Wstęp i cel ćwiczenia W ćwiczeniu student tworzy barierę podczerwieni złożoną z diody nadawczej IR (Infra

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

OPERACJE NA PLIKACH I FOLDERACH

OPERACJE NA PLIKACH I FOLDERACH OPERACJE NA PLIKACH I FOLDERACH Czym są pliki i foldery? krótkie przypomnienie Wszelkie operacje można przedstawić w postaci cyfrowej. Do tego celu wykorzystywane są bity - ciągi zer i jedynek. Zapisany

Bardziej szczegółowo

Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows 7

Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows 7 5.0 5.3.3.5 Laboratorium - Monitorowanie i zarządzanie zasobami systemu Windows 7 Wprowadzenie Wydrukuj i uzupełnij to laboratorium. W tym laboratorium, będziesz korzystać z narzędzi administracyjnych

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie)

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) UWAGA Podstawowym wymaganiem dla uruchomienia modemu ED77 jest komputer klasy PC z portem USB 1.1 Instalacja

Bardziej szczegółowo

Programowanie obiektowe

Programowanie obiektowe Laboratorium z przedmiotu Programowanie obiektowe - zestaw 07 Cel zajęć. Celem zajęć jest zapoznanie z praktycznymi aspektami tworzenia aplikacji okienkowych w C#. Wprowadzenie teoretyczne. Rozważana w

Bardziej szczegółowo

Sposoby projektowania systemów w cyfrowych

Sposoby projektowania systemów w cyfrowych Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie

Bardziej szczegółowo

MultiTool instrukcja użytkownika 2010 SFAR

MultiTool instrukcja użytkownika 2010 SFAR MultiTool instrukcja użytkownika 2010 SFAR Tytuł dokumentu: MultiTool instrukcja użytkownika Wersja dokumentu: V1.0 Data: 21.06.2010 Wersja urządzenia którego dotyczy dokumentacja: MultiTool ver. 1.00

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Instrukcja do oprogramowania ENAP DEC-1

Instrukcja do oprogramowania ENAP DEC-1 Instrukcja do oprogramowania ENAP DEC-1 Do urządzenia DEC-1 dołączone jest oprogramowanie umożliwiające konfigurację urządzenia, rejestrację zdarzeń oraz wizualizację pracy urządzenia oraz poszczególnych

Bardziej szczegółowo

Ćw. 0: Wprowadzenie do programu MultiSIM

Ćw. 0: Wprowadzenie do programu MultiSIM Ćw. 0: Wprowadzenie do programu MultiSIM Wstęp Celem ćwiczenia jest zapoznanie się z programem MultiSIM przeznaczonym do analiz i symulacji działania układów elektronicznych. Zaznajamianie się z tym programem

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

3. Sieć PLAN. 3.1 Adresowanie płyt głównych regulatora pco

3. Sieć PLAN. 3.1 Adresowanie płyt głównych regulatora pco 3. Sieć PLAN Wszystkie urządzenia podłączone do sieci plan są identyfikowane za pomocą swoich adresów. Ponieważ terminale użytkownika i płyty główne pco wykorzystują ten sam rodzaj adresów, nie mogą posiadać

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI. Przekaźnik czasowy ETM ELEKTROTECH Dzierżoniów. 1. Zastosowanie

INSTRUKCJA OBSŁUGI. Przekaźnik czasowy ETM ELEKTROTECH Dzierżoniów. 1. Zastosowanie INSTRUKCJA OBSŁUGI 1. Zastosowanie Przekaźnik czasowy ETM jest zadajnikiem czasowym przystosowanym jest do współpracy z prostownikami galwanizerskimi. Pozwala on załączyć prostownik w stan pracy na zadany

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

7 Business Ship Control dla Symfonia Handel

7 Business Ship Control dla Symfonia Handel 7 Business Ship Control dla Symfonia Handel Instrukcja Instalacji aplikacji wersja 2012.1 Twoje potrzeby. Nasze rozwiązania. www.siodemka.com Spis treści 1. Instalacja modułu... 3 2. Uruchomienie wykonywania

Bardziej szczegółowo

Tablet bezprzewodowy QIT30. Oprogramowanie Macro Key Manager

Tablet bezprzewodowy QIT30. Oprogramowanie Macro Key Manager Tablet bezprzewodowy QIT30 Oprogramowanie Macro Key Manager Spis treści 1. Wprowadzenie... 3 2. Panel Sterowania - wprowadzenie... 4 3. Instalacja... 5 3.1 Jak stworzyć nowy profil... 5 3.2 Jak zmodyfikować

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Pracownia internetowa w każdej szkole (edycja Jesień 2007)

Pracownia internetowa w każdej szkole (edycja Jesień 2007) Instrukcja numer D1/05_03/Z Pracownia internetowa w każdej szkole (edycja Jesień 2007) Opiekun pracowni internetowej cz. 1 Ręczne zakładanie kont użytkowników (D1) Jak ręcznie założyć konto w systemie

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

Instrukcja instalacji certyfikatu kwalifikowanego w programie Płatnik. wersja 1.8

Instrukcja instalacji certyfikatu kwalifikowanego w programie Płatnik. wersja 1.8 Instrukcja instalacji certyfikatu kwalifikowanego w programie Płatnik wersja 1.8 Spis treści 1. INSTALACJA CERTYFIKATU Z KARTY KRYPTOGRAFICZNEJ W MAGAZYNIE SYSTEMOWYM... 3 Wczytanie danych z karty CryptoCERTUM...

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo