SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW

Wielkość: px
Rozpocząć pokaz od strony:

Download "SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW"

Transkrypt

1 Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki SPRZĘTOWA IMPLEMENTACJA ALGORYTMÓW Moduły IP Core EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych Grzegorz Gancarczyk & Sebastian Koryciak & Paweł Russek

2 1. Wstęp Celem ćwiczenia jest zapoznanie Uczestników kursu z możliwościami realizacji własnych modułów urządzeń peryferyjnych, które następnie będą mogły współpracować z softprocesorem MicroBlaze firmy Xilinx. Za komunikację przygotowanych modułów z mikroprocesorem odpowiedzialna będzie magistrala AXI (Advanced extensible Interface), zaś cały projekt zostanie zintegrowany w środowisku Xilinx Platform Studio (XPS). Spełnienie pewnych formalnych założeń przy projektowaniu własnego elementu IP Core umożliwia jego późniejsze wykorzystanie jako modułu automatycznie rozpoznawanego i zintegrowanego ze środowiskiem XPS. W ćwiczeniu zaproponowano metodę projektowania własnego sprzętu z wykorzystaniem interfejsu IPIC (IP Interconnect) skojarzonego z elementem bibliotecznym IPIF (IP Interface) dostarczanym przez firmę Xilinx. Wykorzystanie uniwersalnego elementu pośredniczącego w komunikacji modułu użytkownika z magistralą AXI, przyspiesza integrację własnych elementów ze środowiskiem XPS oraz chroni przed koniecznością zachowania dbałości o spełnienie rygorystycznych wymagań czasowych modułów IP Core projektowanych dla XPS. Co bardzo ważne, IPIC umożliwia migrację urządzeń peryferyjnych pomiędzy różnymi magistralami systemowymi dostępnymi w środowisku XPS (np. AXI / PLB) oraz pomiędzy kolejnymi wersjami pakietu (np / 14.2). Jako przykład zaprezentowany zostanie dodatkowy moduł RTC (Real Timie Clock) i sposób jego integracji ze środowiskiem EDK. Moduł zostanie uruchomiony w konfiguracji z procesorem MicroBlaze, modułem obsługi wyświetlacza siedmiosegmentowego znajdującego się na płycie ewaluacyjnej Nexys 3, modułem interfejsu szeregowego axi_uart_light, pojedynczą magistralą AXI oraz dwoma magistralami LMB (Local Memory Bus). Wymagania sprzętowe: komputer klasy PC spełniający wymagania sprzętowe aplikacji ISE Design Suite, zestaw ewaluacyjny Nexys 3 firmy Digilent. Wymagania programowe: system operacyjny Windows XP lub wyższy, środowisko ISE Design Suite. Wymagane doświadczenie: podstawowa umiejętność obsługi komputera klasy PC, podstawowa znajomość systemów operacyjnych rodziny Windows, podstawowa znajomość języka ANSI C, podstawowa wiedza na temat cyfrowych układów elektronicznych, ukończone zajęcia nr IXA kursu Projektowania systemów cyfrowych w układach FPGA z wykorzystaniem języków HDL. 2

3 2. Tworzenie projektu sprzętowego Projekt sprzętowy zostanie utworzony poprzez rozszerzenie projektu bazowego o przygotowane wcześniej i dostarczone w trakcie zajęć pliki modułu sprzętowego (vhd i vhi) oraz kodu aplikacji (c). Postępując identycznie jak opisano to w instrukcji IXA kursu Projektowania systemów cyfrowych w układach FPGA z wykorzystaniem języków HDL, proszę utworzyć nowy projekt sprzętowy o jednoznacznie brzmiącej nazwie (np. czwartek_9_00). Proszę dopilnować, aby projektowany system był taktowany sygnałem zegarowym o częstotliwości 50 [MHz] i wykorzystywał jedynie jeden moduł peryferyjny, tj. moduł obsługi zespołu wyświetlaczy siedmiosegmentowych Digilent_SevSeg_Disp Repozytorium plików Wspomniane wcześniej, przygotowane specjalnie na potrzeby zajęć pliki znajdują w repozytorium o nazwie ip_sources.zip. Proszę je pobrać ze strony Menu Laboratorium Materiały do ćwiczeń Ćwiczenie 3 i zachować na dysku twardym komputera. Archiwum zawiera 3 pliki. W pliku system.c zawarto prostą aplikację napisaną w języku C, która wykorzystuje moduł rtc_core. Plik RTC.vhd stanowi sprzętową realizację zegara czasu rzeczywistego wykonaną w języku VHDL. Plik RTC.vhi jest szablonem deklaracji komponentu RTC (instantiate) w projekcie. Archiwum proszę rozpakować w katalogu projektu narzędzia Xilinx EDK. C:\MyXilDesigns\EDK_2 (przykładowo) 3

4 2.2. Moduł zegara czasu rzeczywistego Moduł RTC jest bardzo prostym układem zegara czasu rzeczywistego. Jego symbol i opis funkcji poszczególnych wejść/wyjść przedstawiono poniżej. Wejście/Wyjście Wr Stop Rst Clk BCDHourIn(7:0) BCDMinIn(7:0) BCDSecIn(7:0) BCDHourOut(7:0) BCDMinOut(7:0) BCDSecOut(7:0) Funkcja Sygnał wejściowy odpowiedzialny za zapis czasu do modułu TRC. Dla Wr = '1', przy narastającym zboczu zegara Clk wpisywany jest czas wystawiony na magistralach BCDHourIn, BCDMinIn, BCDSecIn. Sygnał wejściowy odpowiedzialny za zatrzymania zegara. Dla Stop = '1' licznik zostaje zatrzymany. Reset układu. Zegar układu. Magistrala wejściowa. Przenosi informację zapisaną w kodzie BCD o aktualnej godzinie. Magistrala wejściowa. Przenosi informację zapisaną w kodzie BCD o aktualnej minucie. Magistrala wejściowa. Przenosi informację zapisaną w kodzie BCD o aktualnej sekundzie. Magistrala wyjściowa. Przenosi informację zapisaną w kodzie BCD o aktualnej godzinie. Magistrala wyjściowa. Przenosi informację zapisaną w kodzie BCD o aktualnej minucie. Magistrala wyjściowa. Przenosi informację zapisaną w kodzie BCD o aktualnej sekundzie. Proszę zapoznać się z zawartością pliku TRC.vhd, po czym przejść do kolejnego punktu instrukcji. 4

5 2.3. Import modułu IP Core do projektu XPS Wykorzystanie w projekcie własnego modułu wymaga umieszczenia jego opisu w katalogu pcores. Katalog taki znajduje w drzewie każdego projektu XPS. W katalogu pcores znajdują się elementy IP Core użytkowników, a zawartość tego katalogu jest wczytywana przez XPS każdorazowo podczas otwierania projektu. Każdy moduł użytkownika ma swój własny podkatalog o nazwie składającej się z nazwy modułu i numeru jego wersji (np..\pcores\rtc_core_v1_00_a). W pierwszej fazie przygotowywania własnego modułu IP Core, kompatybilnego z magistralą AXI, najwygodniej posłużyć się narzędziem do generowania szablonu nowo tworzonego IP Core'a. Narzędzie Create-Import Peripheral ułatwia zastosowanie odpowiedniego nazewnictwa dla nowo tworzonego modułu (nazwa musi uwzględniać numer wersji modułu), tworzy odpowiednią strukturę katalogów oraz plików dla projektowanego modułu, a także wyręcza projektanta w wielokrotnym powielaniu informacji wspólnych dla wielu elementów IP Core. Proszę uruchomić narzędzie importu nowych modułów peryferyjnych. Hardware Create or Import Peripheral W nowo otwartym oknie powitalnym czarodzieja proszę kliknąć na przycisku Next. Next 5

6 W następnym oknie proszę wybrać opcję stworzenia szablonu dla nowego modułu peryferyjnego, po czym kliknąć na przycisku Next. Select flow Create templates for a new peripheral Next 6

7 W następnym kroku proszę zadbać, aby nowy moduł został dodany (przechowywany) w katalogu istniejącego projektu. Następnie proszę kliknąć na przycisku Next. To an XPS project Next 7

8 W kolejnym oknie określa się nazwę modułu oraz numeruje jego wersję. Proszę nazwać moduł IP Core rtc_core i wedle uznania dodać jego opis w specjalnie przygotowanym do tego celu polu. Po wszystkim należy kliknąć na przycisku Next. Name rtc_core Next 8

9 W nowo otwartym oknie należy dokonać wyboru interfejsu magistrali komunikacyjnej modułu. W tym przypadku będzie to uproszczona wersja magistrali AXI4. Po zaznaczeniu stosownej opcji proszę kliknąć przycisk Next. AXI4-Lite Next 9

10 W pierwszym oknie konfiguracji wrappera IPIF proszę zaznaczyć opcję dołączenia do modułu układu przesuwnika fazy danych i odznaczyć opcję użycia rejestrów programowych. Po zaznaczeniu/odznaczeniu stosownych opcji proszę nacisnąć przycisk Next. Include data phase timer User logic software register Next 10

11 W drugim oknie konfiguracji wrappera IPIF definiuje się linie, które połączą moduł IP Core z magistralą AXI. Proszę dopilnować, aby dołączone zostały następujące porty Bus2IP_clk Bus2IP_Resetn Bus2IP_Data Bus2IP_BE Bus2IP_RdCE Bus2IP_WrCE Bus2IP_Data Bus2IP_RdAck Bus2IP_WrAck Bus2IP_Error Proszę kliknąć na przycisku Next. Next Pierwsze okno opcji dodatkowych wrappera IPIF proszę pominąć klikając Next. Next 11

12 W drugim oknie opcji dodatkowych wrappera IPIF proszę zaznaczyć opcję odpowiedzialną za generację plików ISE i syntezera XST, które ułatwią proces implementacji własnego moduły IP Core. Następnie proszę kliknąć na przycisku Next. Generate ISE and XST project files to help you implement the peripheral using XST flow Next W ostatnim oknie czarodzieja proszę kliknąć przycisk Finish. Finish Efektem pracy czarodzieja jest powstanie nowego katalogu rtc_core_v1_00_a wraz z zawartością. W katalogu rtc_core_v1_00_a/data znaleźć można plik mpd opisujący sposób dołączenia modułu IP Core do magistrali AXI. Plik pao zawiera z kolei informację o tym, jak należy skompilować ten moduł. W katalogu rtc_core_v1_00_a/hdl/vhdl znajdują się pliki opisujące moduł w wybranym języku opisu sprzętu. Plik rtc_core.vhd jest nadrzędnym plikiem projektu. Zawiera on deklarację elementów składowych modułu IP Core oraz mapę połączeń poszczególnych portów, linii, magistral. Występujący w nim komponent USER_LOGIC jest szablonem służącym do dodania elementu o własnej funkcjonalności, natomiast komponent AXI_LITE_IPIF_I jest elementem bibliotecznym IPIC. Plik user_logic.vhd to szablon, w którym znaleźć powinien się opis układu stworzonego przez użytkownika. Plik ten jest wstępnie przygotowany tak, aby dobrze współgrał z interfejsem AXI_LITE_IPIF. W katalogu rtc_core_v1_00_a/devl/projnav znaleźć można projekt ISE, który umożliwia niezależną implementację modułu IP Core. 12

13 2.4. Integracja elementu RTC z USER_LOGIC Do katalogu pcores/rtc_core_v1_00_a/hdl/vhdl proszę skopiować plik RTC.vhd z katalogu IPCores_sources. Proszę uruchomić plik projektu ISE stworzony przez czarodzieja. Projekt ten znacząco ułatwia wprowadzenie jakichkolwiek modyfikacji w utworzonym automatycznie szablonie. W celu uruchomienia projektu proszę dwukrotnie kliknąć na ikonie pliku rtc_core.xise znajdującej się w katalogu pcores/rtc_core_v1_00_a/devl/projnav rtc_core.xise Do projektu ISE proszę dodać plik RTC.vhd. Add Copy of Source Proszę zmodyfikować architekturę USER_LOGIC w pliku user_logic.vhd w następujący sposób: dodać komponent RTC (jego definicję można znaleźć w pliku RTC.vhi), podłączyć magistralę Bus2IPData do portów BCDHourIn, BDCMinIn oraz BCDSecIn, podłączyć magistralę IP2BusData do portów BCDHourOut, BCDMinOut oraz BCDSecOut, podłączyć sygnał strobujący Bus2IP_wrCE(0) do portu Wr, dodać port Stop do entity user_logic, a następnie podłączyć go do portu Stop modułu RTC, właściwie wysterować sygnały potwierdzenia transakcji zapisu i odczytu IP2Bus_WrAck oraz IP2Bus_RdAck. Wprowadzone modyfikacje spowodują połączenie sygnałów IPIC z sygnałami modułu zegarowego RTC oraz zapewnią sygnał strobu zapisu/odczytu. Przykładową realizację przedstawiono poniżej. -- ADD USER PORTS BELOW THIS LINE Stop : in std_logic; -- ADD USER PORTS ABOVE THIS LINE Architecture section architecture IMP of user_logic is component RTC is port ( Rst : in std_logic; -- asynchronious Clk : in std_logic; -- 50MHz BCDHourIn : in std_logic_vector (7 downto 0); BCDMinIn : in std_logic_vector (7 downto 0); BCDSecIn : in std_logic_vector (7 downto 0); BCDHourOut : out std_logic_vector (7 downto 0); BCDMinOut : out std_logic_vector (7 downto 0); BCDSecOut : out std_logic_vector (7 downto 0); Wr : in std_logic; Stop : in std_logic); 13

14 end component RTC; signal Wr: std_logic; Begin architecture begin -- architecture IMP RTC_0: RTC port map ( Rst => Bus2IP_Resetn, Clk => Bus2IP_Clk, BCDHourIn => Bus2IP_Data(15 downto 8), BCDMinIn => Bus2IP_Data(23 downto 16), BCDSecIn => Bus2IP_Data(31 downto 24), BCDHourOut => IP2Bus_Data(15 downto 8), BCDMinOut => IP2Bus_Data(23 downto 16), BCDSecOut => IP2Bus_Data(31 downto 24), Wr => Bus2IP_WrCE(0), Stop => Stop ); IP2Bus_WrAck <= Bus2IP_WrCE(0); IP2Bus_RdAck <= Bus2IP_RdCE(0); IP2Bus_Error <= '0'; end architecture IMP; 2.5. Dodawanie sygnałów zewnętrznych Ponieważ narzędzie importu Create-Import Peripheral nie zapewnia możliwości dodania do elementu IPIC sygnałów zewnętrznych nie będących częścią interfejsu IPIC, toteż takie sygnały użytkownik musi dodać ręcznie w kodzie źródłowym elementu rtc_core. Moduł zegara RTC zawiera sygnał Stop zatrzymujący zliczanie czasu. Sygnał ten powinien być dostępny z zewnątrz systemu/układu FPGA. Proszę dodać port Stop w entity rtc_core w pliku rtc_core.vhd. -- ADD USER PORTS BELOW THIS LINE Stop : in std_logic; -- ADD USER PORTS ABOVE THIS LINE Proszę wykonać stosowne połączenie pomiędzy portami Stop logiki użytkownika i interfejsu IPIC w mapie portów elementu USER_LOGIC_I w pliku rtc_core.vhd. -- ADD USER PORTS BELOW THIS LINE Stop => Stop, -- ADD USER PORTS ABOVE THIS LINE

15 Po wykonaniu powyższych zmian linia Stop powinna uzyskać drożność od poziomu najwyższego modułu RTC_CORE, aż do modułu użytkownika RTC. W celu weryfikacji tej drożności proszę sprawdzić poprawność składni całego projektu. Uwaga! Próba syntezy całego projektu zakończy się ostrzeżeniami i/lub błędami, dlatego też należy sprawdzić jedynie poprawność składni VHDL. Synthesize Check Syntax Run 2.6. Integracja z pakietem EDK Opis pracy modułu znajduje się w plikach VHDL, aby jednak możliwa była jego integracja z pakietem EDK, konieczne są dodatkowe opisy elementu zawarte w plikach mpd (Microprocessor Peripheral Description) i pao (Peripheral Analyze Order). Proszę otworzyć plik rtc_core_vx_x_x.mpd znajdujący się w katalogu pcores/rtc_core_v1_00_a/data. Plik proszę otworzyć w dowolnym edytorze tekstu. Na końcu pliku (ale przed słowem kluczowym END) proszę dodać poniższą deklarację portu użytkownika Stop. # --USER-- change to user core ports PORT Stop = "", DIR = IN Wprowadzone zmiany sprawią, że narzędzia XPS łączące mikroprocesor MicroBlaze z peryferiami typu IP Core będą potrafić prawidłowo podłączyć moduł użytkownika rtc_core. Po wprowadzeniu zmian plik proszę zapisać. Proszę otworzyć plik rtc_core_vx_x_x.pao znajdujący się w katalogu pcores/rtc_core_v1_00_a/data (w dowolnym edytorze tekstu). Plik pao zawiera listę elementów składowych modułu wraz z nazwami bibliotek, w których moduły te się znajdują. Ponieważ przy tworzeniu logiki użytkownika wykorzystany został dodatkowy moduł RTC (niewygenerowany przez czarodzieja XPS), dlatego też element ten należy dopisać do biblioteki kompilatora ręcznie. Proszę sprawdzić, czy w pliku znajdują się deklaracje poniższych elementów bibliotecznych. W razie ich braku, proszę dopisać brakujące elementy i zapisać plik. lib rtc_core_v1_00_a user_logic vhdl lib rtc_core_v1_00_a rtc_core vhdl lib rtc_core_v1_00_a RTC vhdl 15

16 3. Konfiguracja modułów peryferyjnych Moduł IP Core użytkownika został dodany do repozytorium projektu XPS i po wprowadzonych zmianach jest gotowy do użycia. Jeśli to konieczne proszę uruchomić narzędzie XPS i otworzyć swój projekt. W przeciwnym wypadku proszę jedynie odświeżyć zawartość repozytorium plików użytkownika. Project Rescan User Repositories Zmianie uległo drzewo dostępnych modułów IP. W katalogu modułów IP pojawiła się nowa zakładka Project Local PCores, a w niej grupa elementów użytkownika, w tym moduł RTC_CORE. Proszę zwrócić uwagę na widoczny opis modułu. Moduł proszę dodać do aktualnego projektu. IP Catalog Project Local PCores USER RTC_CORE 16

17 Proszę nie zmieniać w żaden sposób domyślnych ustawień dla modułu rtc_core i kliknąć na przyciskach OK w kolejno pojawiających się oknach. OK OK Proszę dopilnować, aby adres bazowy modułu rtc_core_x wynosił 0x

18 Proszę uczynić z portu Stop modułu rtc_core port zewnętrzny oraz dopilnować, by port S_AXI_ACLK został podpięty do 50 [MHz] zegara taktującego cały system cyfrowy (CLKOUT0). rtc_core Stop Make External Proszę otworzyć plik ucf projektu i zdefiniować w nim przypisane portu zewnętrznego rtc_core_0_stop_pin do wyprowadzenia C9 układu FPGA. Wzorcowy kod zaprezentowany został poniżej. Po wprowadzeniu stosownych zmian plik ucf proszę zapisać. NET rtc_core_0_stop_pin LOC = "C9"; Po wprowadzonych zmianach port Stop modułu IP Core rtc_core uzyskał dostęp do świata zewnętrznego (z wnętrza układu FPGA do jednego z jego wyprowadzeń). Do sterowania nim wykorzystany został przycisk monostabilny Push Button BTND na płycie Nexys Poprawki w module obsługi wyświetlacza siedmiosegmentowego Przyglądając się liście portów modułu Digilent_SevSeg_Disp łatwo stwierdzić, że w konfiguracji jaką posiada on na tę chwilę z pewnością nie wyświetli on żadnych danych na zespole wyświetlaczy siedmiosegmentowych płyty Nexys 3. Porty AN i SEG modułu Digilent_SevSeg_Disp proszę skonfigurować jako porty zewnętrzne. Jednocześnie proszę zwrócić uwagę na fakt, że czarodziej XPS dodał już te porty do pliku ucf i skonfigurował jako podłączone do zespołu anod i katod wyświetlaczy siedmiosegmentowych. Port zegara magistrali AXI został przez czarodzieja zwarty z poziomem masy. Edytując zawartość pliku mhs proszę połączyć port S_AXI_ACLK modułu svn_seg_axi z linią clk_50_0000mhz. Po wprowadzeniu zmian plik mhs proszę zapisać. 18

19 Moduł Digilent_SevSeg_Disp posiada port zegara magistrali AXI (skonfigurowany poprawnie przed chwilą) oraz drugi, którego rolą jest "przemiatanie" wyświetlacza siedmiosegmentowego. W celu zmniejszenia poboru energii elektrycznej przez wyświetlacz siedmiosegmentowy należy do tego portu doprowadzić sygnał o częstotliwości od 1 [khz] do 60 [Hz]. Proszę dwukrotnie kliknąć na module Digilent_SevSeg_Disp, a następnie przy pomocy GUI skonfigurować częstotliwość zegara odpowiedzialnego za przemiatanie. Po wprowadzeniu zmian proszę kliknąć na przycisku OK. Digilent_SevSeg_Disp All CLK_FREQUENCY_HZ 100 (przykładowo) OK 3.2. Budowa i eksport projektu Ostatni krok budowy projektu sprzętowego to generacja netlisty, bitsreamu oraz eksport plików wynikowych do środowiska EDK. Wszystkie trzy operacje można zautomatyzować (przeprowadzić od razu) klikając na przycisk eksportu projektu do środiwska EDK. Export Design Export & Launch SDK W narzędziu SDK proszę utworzyć nowy, pusty projekt w języku C o stosownej nazwie (np. soft_1). W razie konieczności proszę posiłkować się instrukcją nr IXA nieniejszego kursu, w której cały ten proces został opisany. 19

20 Do katalogu projektu soft_1 narzędzia SDK (np. MyXilDesigns\SDK_2\soft_1\src) proszę skopiować plik system.c z katalogu MyXilDesigns\EDK_2\IPCores_sources. Następnie proszę odświerzyć zawartość katalogu projektu wciskając klawisz F5. Alternatywna metoda polega na kliknięci prawym przyciskiem myszy na katalogu projektu i wybraniu z menu kontekstowego stosownej opcji. soft_1 Refresh Projekt zostanie skompilowany z błędami. Proszę je wyeliminować, a następnie uruchomić całość na płycie Nexys 3. 20

21 5. Symulacja systemu MicroBlaze w symulatorze ISim Aby ograniczyć czas trwania symulacji, proszę zmniejszyć dzielnik zegara wejściowego clk modułu rtc_core w pliku RTC.vhd. W tym celu plik RTC.vhd znajdujący się w katalogu pcores/rtc_core_v1_00_a/hdl/vhdl należy otworzyć w dowolnym edytorze tekstu, po czym zmienić warunek przy którym następuje wyzerowanie licznika. Wartość w procesie clock_div proszę zmienić na wartość 49. Po wprowadzeniu zmian plik proszę zapisać. Proszę usunąć starą implementację projektu w XPS. Pojawi się okno potwierdzenia decyzji, w otkórym proszę kliknąć na przycisku Yes. Hardware Clean Hardware Yes W programie SDK proszę zakomentować linie odwołujące się do STDIO oraz opóźnienia czasowego. Po wprowadzeniu zmian projekt proszę skompilować. W narzędziu XPS proszę wybrać stosowny plik elf, który posłuży do przeprowadzenia symulacji. Project Select Elf File 21

22 W nowym oknie, zarówno na potrzeby implementacji jak i symulacji, proszę wybrać plik egzekucyjny elf znajdujący się w katalogu soft_1 projektu SDK i użyty wcześniej podczas uruchomienia projektu na płycie Nexys 3. Następnie proszę okliknąć na przycisku OK. Choose Implementation Elf File /soft_1.elf ChooseSimulation Elf File /soft_1.elf OK W programie XPS proszę wybrać opcje projektu. Project Project Options 22

23 W oknie opcji projektu, w zakładce Design Flow proszę dopilnować, aby wybrana została symulacja behawioralna oraz utworzony został test bench. Po upewnieniu się, że wybrano poprawny rodzaj symulacji proszę okliknąć na przycisku OK. Design Flow Simulation Models Behavioral Design Flow Simulation Test Bench Generate test bench template OK Korzystajć z ikony w głównym oknie XPS proszę wygenerować pliki HDL na potrzeby symulacji. Korzystajć z ikony w głównym oknie XPS proszę uruchomić symulację. 23

24 W symulatorze ISim proszę dwukrotnie kliknąć na instancji system_tb w celu otwarcia pliku test bencha w oknie głównym symulatora. Instances and Processes system_tb Proszę odszukać architekturę STRUCTURE of system_tb i umieścić w niej deklarację wymuszenia dla portu Stop. -- START USER CODE (Do not remove this line) rtc_core_0_stop_pin <= '0'; -- END USER CODE (Do not remove this line) W celu skompilowania kodu VHDL po wprowadzonych zmianiach, proszę ponownie uruchomić symulację (przycisk Re-launch). Po zakończeniu symulacji proszę przełączyć widok na okno przebiegów czasowych (Default.wcfg) i wpisać w konsoli symulatora ISim kolejno komendy w_top i run 5000ns. Obserwując przebieg sygnału zegarowego gclk oraz sygnału zerującego reset, proszę określić moment zmiany stanu sygnału reset na nieaktywny. 24

25 W oknie instanscji proszę odnaleźć i podświetlić moduł rtc_core_0. W oknie konsoli proszę wpisać kolejno polecenia w_instance rtc_core_0, restart i run 5000ns. Korzystając z okna przebiegów czasowych proszę przeanalizować pracę modułu rtc_core. 1. Proszę odszukać momenty zmianu czasu. Jaki sygnał przenosi informację o aktualnym czasie? 2. Proszę zaobserwować cykl zapisu przez MicroBlaze'a nowej wartości czasu do modułu rtc_core. Ile wynosi względny czas symulacji, w którym zachodzi zapis czasu? 3. Proszę zaobserwować cykl odczytu przez MicroBlaze z modułu rtc_core. Jaka jest odczytana przez MicroBlaze godzina? 25

26 Proszę dodać do okna przebiegów sygnały BCDHourOut, BCDMinOut oraz BCDSecOut. W tym celu proszę wybrać moduł RTC_0 znajdujący się wewnątrz modułu rtc_core_0 i przeciągnąć wspomniane sygnały w okno symulatora. Po dodaniu nowych sygnałów proszę zrestartować symulację i zaobserwować zmiany czasu. Z okna symulatora proszę usunąć wszystkie sygnały dotyczące modułu rtc_core_0, po czym w oknie instancji zaznaczyć moduł microblaze_0 a w konsoli wpisać kolejno polecenia w_instance microblaze_0, restart i run 5000ns. Korzystając z okna przebiegów czasowych proszę przeanalizować pracę magistrali ILMB (szyny adresowej Instr_Addr i szyny danych Instr). 1. Kiedy na magistrali zwalnia się sygnał reset? 2. Dlaczego adresy rosną co 4 bajty (sygnał Instr)? 3. Ile taktów zegara trwa cykl odczytu rozkazu z magistrali? 4. Ćwiczenie 4.1. Wstęp Celem niniejszego ćwiczenia jest weryfikacja praktycznych umiejętności Uczestników kursu z zakresu tworzenia i użytkowania własnych modułów IP Core w połączeniu z softprocesorem MicroBlaze.. Zadaniem Uczestników będzie przygotowanie modułu IP Core o funkcjonalności polegającej na obliczeniu wyniku dodawania dwóch liczb 4 bitowych. Moduł ten zostanie połączony z procesorem MicroBlaze przy użyciu magistrali AXI. Wynik natomiast będzie wyświetlany na wyświetlaczu siedmiosegmentowym. Cały układ zostanie zaimplementowany na płytce ewaluacyjnej Nexys 3 firmy Digilent. Wymagania sprzętowe: komputer klasy PC spełniający wymagania sprzętowe aplikacji ISE Design Suite, zestaw ewaluacyjny Nexys 3 firmy Digilent. Wymagania programowe: 26

27 system operacyjny Windows XP lub wyższy, środowisko ISE Design Suite. Wymagane doświadczenie: podstawowa umiejętność obsługi komputera klasy PC, podstawowa znajomość systemów operacyjnych rodziny Windows, podstawowa znajomość języka ANSI C, podstawowa wiedza na temat cyfrowych układów elektronicznych, ukończone zajęcia nr IA, IIA, IXA i XA kursu Projektowania systemów cyfrowych w układach FPGA z wykorzystaniem języków HDL Założenia projektowe Projekt składać się będzie z trzech części: 1. Modułu IP Core przygotowanego w pełni samodzielnie przez Uczestników kursu. Do przygotowania modułu należy wykorzystać język VHDL i środowisko projektowe ISE. Funkcjonalność modułu powinna ograniczać się do wystawienia na jego 5 bitowej magistrali wyjściowej Y sumy argumentów podanych na jego dwie 4 bitowe magistrale wejściowe A i B. Przed przystąpieniem do realizacji dalszej części projektu należy sprawdzić poprawność działania modułu IP Core przygotowując specjalnie spreparowany w tym celu test bench. Wskazówka. Moduł można przygotować jako w pełni synchroniczny (synchronizowany sygnałem zegarowym magistrali AXI), jednak znacznie prościej zaimplementować go jako układ kombinacyjny. 2. Systemu cyfrowego zbudowanego z wykorzystaniem softprocesora MicroBlaze. System należy utworzyć w środowisku XPS. Jedynym urządzeniem peryferyjnym dla procesora MircoBlaze, które musi się znaleźć w systemie jest sterownik zespołu wyświetlaczy siedmiosegmentowych. Po stworzeniu systemu należy zaimportować do niego przygotowany wcześniej moduł IP Core, po czym przystosować do jego obsługi cały projekt. Należy m.in. uczynić z magistral AN i SEG (sterownika wyświetlacza siedmiosegmentowego) oraz A i B porty zewnętrzne, stosownie uzupełnić zawartość plików mhs, ucf, pao i mdp. Należy również pamiętać o wprowadzeniu zmian do wrappera user_logic i pliku top modułu IP Core. 3. Prostej aplikacji w języku C, która zostanie uruchomiona na procesore MicroBlaze. Będąc w nieskończonej pętli while procesor powinien przepisywać wynik odczytany z modułu IP Core do sterownika wyświetlacza siedmiosegmentowego. 27

Realizacja własnych modułów IP Cores w srodowisku EDK

Realizacja własnych modułów IP Cores w srodowisku EDK Realizacja własnych modułów IP Cores w srodowisku EDK Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 3.10.2007 1 Wstęp Celem ćwiczenia jest zapoznanie się z możliwościami

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 5 ZYNQ. Obsługa przerwań. Zespół Rekonfigurowalnych

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Projektowanie systemów za pomocą języków wysokiego poziomu ESL

Projektowanie systemów za pomocą języków wysokiego poziomu ESL Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Projektowanie systemów za pomocą języków wysokiego poziomu ESL Ćwiczenie 2 Implementacja funkcji Hash z użyciem

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA Joanna Patrzyk Bartłomiej Patrzyk Katarzyna Rycerz jpatrzyk@quide.eu bpatrzyk@quide.eu kzajac@agh.edu.pl

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

Tutorial projektowanie systemu dwuprocesorowego FPGA

Tutorial projektowanie systemu dwuprocesorowego FPGA Tutorial projektowanie systemu dwuprocesorowego FPGA Celem niniejszego tutoriala jest zapoznanie się z zagadnieniami związanymi z projektowaniem systemów wieloprocesorowych w układach FPGA. Tutorial jest

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydział Elektroniki Mikrosystemów i Fotoniki Politechnika Wrocławska Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Konfiguracja układu DCM Digital

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 8 Temat: Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Inwentarz Optivum. Jak wykorzystać kolektor danych do wypełniania arkuszy spisowych?

Inwentarz Optivum. Jak wykorzystać kolektor danych do wypełniania arkuszy spisowych? Inwentarz Optivum Jak wykorzystać kolektor danych do wypełniania arkuszy spisowych? Kolektor danych jest to urządzenie, które umożliwia automatyczną identyfikację kodów kreskowych. Program Inwentarz Optivum

Bardziej szczegółowo

Instalacja aplikacji

Instalacja aplikacji 1 Instalacja aplikacji SERTUM... 2 1.1 Pobranie programu z Internetu... 2 1.2 Instalacja programu... 2 1.3 Logowanie... 3 2 Instalacja aplikacji RaportNet... 4 2.1 Pobranie programu z Internetu... 4 2.2

Bardziej szczegółowo

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX9000 Sterownik CX9000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia nowego projektu (poniżej są utworzone projekty) Po kliknięciu

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego http://www.fpga.agh.edu.pl 1. Wstęp Celem niniejszego

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Instrukcja użytkowania

Instrukcja użytkowania ASPEL S.A. PL 32-080 Zabierzów, os. H. Sienkiewicza 33 tel. +48 12 285 22 22, fax +48 12 285 30 30 www.aspel.com.pl Instrukcja użytkowania Konfiguracja bezprzewodowej komunikacji rejestratora AsPEKT 703

Bardziej szczegółowo

BLUETOOTH INSTRUKCJA PODŁĄCZENIA I KONFIGURACJI.

BLUETOOTH INSTRUKCJA PODŁĄCZENIA I KONFIGURACJI. Interfejs BLUETOOTH INSTRUKCJA PODŁĄCZENIA I KONFIGURACJI. Producent: AC Spółka Akcyjna. 15 182 Białystok, ul. 27 Lipca 64 tel. +48 85 7438117, fax +48 85 653 8649 www.ac.com.pl, e mail: autogaz@ac.com.pl

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów

Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów Państwowa Wyższa Szkoła Zawodowa w Gorzowie Wlkp. Laboratorium architektury komputerów Nr i temat ćwiczenia Nr albumu Grupa Rok S 3. Konfiguracja systemu Data wykonania ćwiczenia N Data oddania sprawozdania

Bardziej szczegółowo

PC0060. ADAPTER Kabel Easy Copy PC-Link USB 2.0 Proste kopiowanie, bez instalacji. Instrukcja obsługi

PC0060. ADAPTER Kabel Easy Copy PC-Link USB 2.0 Proste kopiowanie, bez instalacji. Instrukcja obsługi PC0060 ADAPTER Kabel Easy Copy PC-Link USB 2.0 Proste kopiowanie, bez instalacji Instrukcja obsługi Rozdział 1 Produkt 1.1 Instrukcja Produkt PC0060 to najlepsze rozwiązanie w zakresie przesyłania danych.

Bardziej szczegółowo

PROGRAMOWALNE UKŁADY CYFROWE

PROGRAMOWALNE UKŁADY CYFROWE Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki PROGRAMOWALNE UKŁADY CYFROWE Ćwiczenie Projekt, symulacja, synteza i implementacja systemu cyfrowego w środowisku

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

1. Aplikacja LOGO! App do LOGO! 8 i LOGO! 7

1. Aplikacja LOGO! App do LOGO! 8 i LOGO! 7 1. Aplikacja do LOGO! 8 i LOGO! 7 1.1. Przegląd funkcji Darmowa aplikacja umożliwia podgląd wartości parametrów procesowych modułu podstawowego LOGO! 8 i LOGO! 7 za pomocą smartfona lub tabletu przez sieć

Bardziej szczegółowo

Projektowanie systemów za pomocą języków wysokiego poziomu ESL

Projektowanie systemów za pomocą języków wysokiego poziomu ESL Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Projektowanie systemów za pomocą języków wysokiego poziomu ESL Ćwiczenie 4 Mixed Design: Impulse C + VHDL Zespół

Bardziej szczegółowo

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000 Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX1000 Sterownik CX1000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

7 Business Ship Control dla Symfonia Handel

7 Business Ship Control dla Symfonia Handel 7 Business Ship Control dla Symfonia Handel Instrukcja Instalacji aplikacji wersja 2012.1 Twoje potrzeby. Nasze rozwiązania. www.siodemka.com Spis treści 1. Instalacja modułu... 3 2. Uruchomienie wykonywania

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium komputerowych systemów pomiarowych Ćwiczenie 8 Wykorzystanie modułów FieldPoint w komputerowych systemach pomiarowych 1. Wprowadzenie

Bardziej szczegółowo

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat: Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych SPIS TREŚCI 1. Wymagania...3

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie BDE: Menedżer Pojazdów PL+ Ewidencja Wyposażenia PL+ Spis treści: 1. Wstęp...

Bardziej szczegółowo

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200 Za pomocą oprogramowania PC Access oraz programu Microsoft Excel moŝliwa jest prosta wizualizacja programów wykonywanych na sterowniku SIMATIC S7-200. PC Access umoŝliwia podgląd wartości zmiennych oraz

Bardziej szczegółowo

7 Business Ship Control dla Systemu Zarządzania Forte

7 Business Ship Control dla Systemu Zarządzania Forte 7 Business Ship Control dla Systemu Zarządzania Forte Instrukcja instalacji aplikacji wersja 2012.1 Twoje potrzeby. Nasze rozwiązania. www.siodemka.com Spis treści 1. Instalacja aplikacji 7 Business Ship

Bardziej szczegółowo

Instalowanie VHOPE i plików biblioteki VHOPE

Instalowanie VHOPE i plików biblioteki VHOPE Instalowanie VHOPE i plików biblioteki VHOPE Krok 1. Zainstaluj aplikację VHOPE Przed rozpoczęciem korzystania z materiałów prezentacyjnych znajdujących się na tym dysku USB należy zainstalować na komputerze

Bardziej szczegółowo

Przełącznik USB 2.0. Podręcznik użytkownika. Typ: DA & DA

Przełącznik USB 2.0. Podręcznik użytkownika. Typ: DA & DA Przełącznik USB 2.0 Podręcznik użytkownika Typ: DA-70135-1 & DA-70136-1 Zapoznanie się z Przełącznikiem USB 2.0 Dziękujemy za wybranie Przełącznika USB 2.0 Obecnie złącza USB znajdują się w wielu urządzeniach,

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II PRE LAB Instalacja środowiska Keil i konfigurowanie zestawu FRDM-KL46Z Sebastian

Bardziej szczegółowo

Divar - Archive Player. Instrukcja obsługi

Divar - Archive Player. Instrukcja obsługi Divar - Archive Player PL Instrukcja obsługi Divar Odtwarzacz Instrukcja obsługi PL 1 Divar Digital Versatile Recorder Divar Odtwarzacz Instrukcja obsługi Spis treści Rozpoczęcie pracy........................................2

Bardziej szczegółowo

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CP6601 Sterownik CP6601 należy do grupy urządzeń określanych jako komputery przemysłowe (Industrial

Bardziej szczegółowo

Livebox konfiguracja drukarki

Livebox konfiguracja drukarki Livebox 3.0 - konfiguracja drukarki Windows XP Przed przystąpieniem do konfiguracji drukarki, upewnij się, że komputer jest połączony z modemem Livebox 3.0 Krok 1 Zainstaluj drukarkę na komputerze. Zrób

Bardziej szczegółowo

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

Narzędzia i aplikacje Java EE. Usługi sieciowe Paweł Czarnul pczarnul@eti.pg.gda.pl

Narzędzia i aplikacje Java EE. Usługi sieciowe Paweł Czarnul pczarnul@eti.pg.gda.pl Narzędzia i aplikacje Java EE Usługi sieciowe Paweł Czarnul pczarnul@eti.pg.gda.pl Niniejsze opracowanie wprowadza w technologię usług sieciowych i implementację usługi na platformie Java EE (JAX-WS) z

Bardziej szczegółowo

Laboratorium 2.6.1 Badanie topologii i budowa małej sieci

Laboratorium 2.6.1 Badanie topologii i budowa małej sieci Laboratorium 2.6.1 Badanie topologii i budowa małej sieci Topologia sieci Sieć punkt-punkt Cele nauczania Po zakończeniu tego ćwiczenia będziesz potrafił: Sieć przełączana poprawnie identyfikować kable

Bardziej szczegółowo

podstawowa obsługa panelu administracyjnego

podstawowa obsługa panelu administracyjnego podstawowa obsługa panelu administracyjnego Poniższy dokument opisuje podstawowe czynności i operacje jakie należy wykonać, aby poprawnie zalogować się i administrować środowiskiem maszyn wirtualnych usługi

Bardziej szczegółowo

Instalacja protokołu PPPoE

Instalacja protokołu PPPoE Instalacja protokołu PPPoE Uruchomienie PPPoE w systemie Windows XP za pomocą wbudowanego kreatora Uruchomienie PPPoE w systemach z rodziny Windows 98 Instrukcja oparta na powszechnie dostępnych w Internecie

Bardziej szczegółowo

Microsoft.NET: ASP.NET MVC + Entity Framework (Code First)

Microsoft.NET: ASP.NET MVC + Entity Framework (Code First) Microsoft.NET: ASP.NET MVC + Entity Framework (Code First) Do realizacji projektu potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2012. W ramach projektu budowana jest prosta

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl

PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl Do połączenia z serwerem A&B w celu załadowania lub pobrania materiałów można wykorzystać dowolny program typu "klient FTP". Jeżeli nie

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Podstawy programowania w środowisku Totally Integration Automation Portal

Podstawy programowania w środowisku Totally Integration Automation Portal GRUPA MT Temat i Autor Podstawy programowania w środowisku Totally Integration Automation Portal Krzysztof Bodzek, Arkadiusz Domoracki, Grzegorz Jarek CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration

Bardziej szczegółowo

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Stanowisko laboratoryjne ze sterownikiem BX9000 Sterownik BX9000 należy

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

Kopiowanie, przenoszenie plików i folderów

Kopiowanie, przenoszenie plików i folderów Kopiowanie, przenoszenie plików i folderów Pliki i foldery znajdujące się na dysku można kopiować lub przenosić zarówno w ramach jednego dysku jak i między różnymi nośnikami (np. pendrive, karta pamięci,

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

Instrukcja instalacji Zespołu Diagnostycznego Delphi w systemie Vista.

Instrukcja instalacji Zespołu Diagnostycznego Delphi w systemie Vista. Instrukcja instalacji Zespołu Diagnostycznego Delphi w systemie Vista. Przed przejściem do dalszej części niniejszej instrukcji upewnij się, czy modułbluetooth, który jest zamontowany w Twoim urządzeniu

Bardziej szczegółowo

FAQ: /PL Data: 2/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem LOGO!

FAQ: /PL Data: 2/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem LOGO! Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Nowy projekt w LOGO! Soft Comfort.... 3 2.1 Nowy projekt... 3 2.2 Konfiguracja połączenia ethernetowego... 4 3 Program w LOGO! Soft Comfort...

Bardziej szczegółowo

Livebox podłączenie drukarki USB

Livebox podłączenie drukarki USB Livebox 2.0 - podłączenie drukarki USB Modem Livebox 2.0 posiada 2 porty USB. Można je wykorzystać do podłączenia pamięci masowej (np. pendrive lub dysk twardy), oraz do podłączenia drukarki. Poniżej instrukcja

Bardziej szczegółowo

NPS-520. Serwer druku do urządzeń wielofukcyjnych. Skrócona instrukcja obsługi. Wersja 1.00 Edycja 1 11/2006

NPS-520. Serwer druku do urządzeń wielofukcyjnych. Skrócona instrukcja obsługi. Wersja 1.00 Edycja 1 11/2006 NPS-520 Serwer druku do urządzeń wielofukcyjnych Skrócona instrukcja obsługi Wersja 1.00 Edycja 1 11/2006 Copyright 2006. Wszelkie prawa zastrzeżone. Informacje ogólne POLSKI Urządzenie NPS-520 jest serwerem

Bardziej szczegółowo