Wyświetlacz siedmiosegmentowy

Wielkość: px
Rozpocząć pokaz od strony:

Download "Wyświetlacz siedmiosegmentowy"

Transkrypt

1 Wyświetlacz siedmiosegmentowy autorzy: Tomasz Perek Tomasz Biernat Projekt: Układ, który liczbę podaną w postaci binarnej wyświetla w systemie szesnastkowym, ósemkowym oraz dziesiętnym. Wyświetlacz siedmiosegmentowy jest powszechnie stosowany do prezentacji cyfr, liter. Składa się z siedmiu segmentów oznaczonych literami a-g (tak jak na rysunku), które mogą być zapalone lub nie. Kolejne cyfry i litery wyglądają następująco: 1.

2 Symulacja w programie Multisim załącznik: 7seg.ms10 Układ zrealizowany w programie Multisim składa się z następujących elementów: 1. Wejście - ośmiobitowy przełącznik 2. Podukład zamieniający 8-bitową liczbę binarną na dwie 4-bitowe liczby binarne, odpowiadające dziesiętnym cyfrom liczby wejściowej 3. Podukłady kodujące liczbę dziesiętną 0-9 do wyświetlacza siedmiosegmentowego 4. Podukłady kodujące liczbę ósemkową 0-7 do wyświetlacza siedmiosegmentowego 5. Podukłady kodujące liczbę szesnastkową 0-F do wyświetlacza siedmiosegmentowego 6. Podukłady wybierające odpowiednią reprezentację liczby 7. Wyjście - dwa wyświetlacze siedmiosegmentowe 8. Wejście przyciski do wyboru reprezentacji 8 2

3 Opis poszczególnych elementów: 1. Wejście - ośmiobitowy przełącznik Jest to układ ośmiu przełączników, za pomocą których ustawiamy wejściową liczbę binarną. Przełącznik znajdujący się najwyŝej odpowiada najbardziej znaczącemu bitowi. 2. Podukład zamieniający 8-bitową liczbę binarną na dwie 4-bitowe liczby binarne, odpowiadające dziesiętnym cyfrom liczby wejściowej Układ posiada 8 wejść A - H, odpowiadających ośmiu bitom liczby wejściowej. Wyjścia c11 - c14 odpowiadają bitom pierwszej cyfry dziesiętnej, a c21 - c24 drugiej cyfry. Dodatkowe wyjście ok informuje, czy liczba dziesiętna nie jest większa od 99. Na przykład, dla wejścia A-H -> (59) otrzymamy: c11-c14 -> 0101 (5) c21-c24 -> 1001 (9) ok -> 0 Nie zamieszczamy wszystkich tabel prawdy, gdyŝ w tym przypadku mają one 100 wierszy, a tablice Karnaugh mają wymiary 8x16. Schematycznie, zminimalizowane i uproszczone funkcje przedstawiają się następująco: 3

4 4

5 3. Podukłady kodujące liczbę dziesiętną 0-9 do wyświetlacza siedmiosegmentowego Wejście układu stanowią 4 bity cyfry dziesiętnej d1 - d4, oraz ok informacja, czy liczba wejściowa nie jest większa od 99. Wyjścia a - g odpowiadają poszczególnym segmentom wyświetlacza. Jeśli ok=0, to na wyjściach kodowana jest odpowiednia cyfra, a jeśli ok=1, to wyjście ustawiane jest tak aby na wyświetlaczu pojawiła się pozioma kreseczka, np: d1-d4 = 0100 (4), ok=0 -> a-g = d1-d4 = 1001 (9), ok=1 -> a-g = Tabela prawdy: d1 d2 d3 d4 a b c d e f g Tablica Karnaugh, przykładowo dla segmentu e: e = d2d4 + d1d 3d 4 5

6 Schemat: 4. Podukłady kodujące liczbę ósemkową 0-7 do wyświetlacza siedmiosegmentowego Wejście układu stanowią 3 bity cyfry ósemkowej o1 - o3, oraz ok informacja, czy liczba wejściowa nie jest większa od 63. Wyjścia a - g odpowiadają poszczególnym segmentom wyświetlacza. Jeśli ok=1, to na wyjściach kodowana jest odpowiednia cyfra, a jeśli ok=0, to wyjście ustawiane jest tak aby na wyświetlaczu pojawiła się pozioma kreseczka, np: o1-o3 = 101 (5), ok=1 -> a-g = o1-o3 = 001 (1), ok=0 -> a-g = Tabela prawdy jest identyczna jak w punkcie 3, tylko pomniejszona o dwa ostatnie wiersze. Schemat przedstawia się następująco: 5. Podukłady kodujące liczbę szesnastkową 0-F do wyświetlacza siedmiosegmentowego Wejście układu stanowią 4 bity cyfry/liczby szesnastkowej h1 - h4. Wyjścia a - g odpowiadają poszczególnym segmentom wyświetlacza.. Przykładowo: h1-h4 = 1011 (B) -> a-g = h1-h4 = 0011 (3) -> a-g = Tabela prawdy jest taka jak w punkcie trzecim, tylko powiększona o wiersze: d1 d2 d3 d4 a b c d e f g

7 Schemat: 6. Podukłady wybierające odpowiednią reprezentację liczby Tych układów jest w projekcie 14, po jednym dla kaŝdego wejścia wyświetlacza. Wejście d to stan odpowiedniego segmentu przy systemie dziesiętnym, o ósemkowym, h szesnastkowym. PBo podłączone jest do przycisku wyboru systemu ósemkowego, a PBd do przycisku wyboru systemu dziesiętnego. Wyjście seg to stan odpowiedniego segmentu, w wybranej za pomocą przycisków reprezentacji. Funkcja wybierająca jest prosta: seg = PBo PBd o + PBo PBd d + PBo PBd h 7. Wyjście - dwa wyświetlacze siedmiosegmentowe W programie Multisim wybraliśmy wyświetlacze w konfiguracji wspólnej anody, kaŝde wejście podłączone jest przez rezystor 100Ω oraz przez bramkę NOT, poniewaŝ segment zapala się przy 0 logicznym. 8. Wejście przyciski do wyboru reprezentacji Dwa przyciski push-button ustalające w jakiej reprezentacji ma byś pokazywana liczba. Standardowo wyświetlana jest liczba w systemie szesnastkowym, przy wciśniętym przycisku okt w ósemkowym, przy wciśniętym przycisku dec w dziesiętnym. Przy przyciskach wciśniętych naraz na wyświetlaczu nic się nie pojawia. 7

8 2. Symulacja w programie Quartus II Układ zbudowany jest na podobnej zasadzie jak w programie Multisim, załącznik zawiera projekt zrealizowany w programie Quartus II - schematy, oraz pliki vhdl. załącznik: 7seg.zip 3. Projekt w języku VHDL konwersja.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY konwersja IS PORT ( A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; D : IN STD_LOGIC; E : IN STD_LOGIC; F : IN STD_LOGIC; G : IN STD_LOGIC; H : IN STD_LOGIC; c24 : OUT STD_LOGIC; c23 : OUT STD_LOGIC; c22 : OUT STD_LOGIC; c21 : OUT STD_LOGIC; c14 : OUT STD_LOGIC; c13 : OUT STD_LOGIC; c12 : OUT STD_LOGIC; c11 : OUT STD_LOGIC; ok : OUT STD_LOGIC END konwersja; ARCHITECTURE bdf_type OF konwersja IS NB : STD_LOGIC; NC : STD_LOGIC; ND : STD_LOGIC; NE : STD_LOGIC; NF : STD_LOGIC; NG : STD_LOGIC; BEGIN NB <= NOT(B NC <= NOT(C ND <= NOT(D NE <= NOT(E NF <= NOT(F NG <= NOT(G c24 <= H; 8

9 c23 <= (C AND ND AND E AND G) OR (C AND E AND F AND G) OR (B AND ND AND E AND NG) OR (C AND ND AND NE AND NG) OR (B AND E AND F AND NG) OR (C AND NE AND F AND NG) OR (NC AND ND AND E AND F AND NG) OR (C AND D AND E AND NF AND NG) OR (NB AND NC AND D AND E AND NF AND G) OR (NB AND NC AND D AND NE AND NF AND NG) OR (NB AND NC AND ND AND NE AND G) OR (NC AND D AND NE AND F AND G) OR (B AND NC AND NE AND NF AND G C22 <= (B AND D AND NE AND F) OR (B AND D AND F AND G) OR (NB AND NC AND D AND E AND NF) OR (C AND D AND NE AND F AND G) OR (B AND ND AND E AND F AND NG) OR (NB AND NC AND D AND NF AND NG) OR (NB AND C AND ND AND NE AND NF AND G) OR (C AND ND AND E AND F) OR (NB AND NC AND ND AND F AND G) OR (B AND NC AND ND AND NF AND G) OR (NB AND ND AND NE AND F AND NG) OR (C AND D AND E AND NF AND NG) OR (B AND ND AND NE AND NF AND NG C21 <= (B AND C AND G) OR (B AND ND AND E AND F AND G) OR (C AND ND AND NE AND F AND G) OR (C AND D AND E AND NF AND G) OR (B AND ND AND NE AND F AND NG) OR (B AND D AND E AND NF AND NG) OR (C AND D AND NE AND NF AND NG) OR (NB AND NC AND D AND NE AND NF AND G) OR (NB AND NC AND D AND E AND F AND NG) OR (NB AND NC AND ND AND E AND NF AND NG C14 <= (B AND ND AND E) OR (C AND ND AND NE) OR (B AND E AND F) OR (C AND NE AND F) OR (B AND E AND G) OR (NC AND ND AND E AND F) OR (C AND D AND E AND NF) OR (NC AND ND AND E AND G) OR (B AND ND AND F AND G) OR (NC AND E AND F AND G) OR (NB AND NC AND D AND NE AND NF) OR (NB AND D AND NE AND NF AND G C13 <= (B AND NC AND ND) OR (NB AND NC AND D AND E) OR (NB AND C AND ND AND NE) OR (NB AND NC AND D AND F) OR (C AND D AND E AND F C12 <= (C AND D) OR (C AND E) OR (B AND NC AND ND C11 <= (B AND C) OR (B AND D OK <= ((D OR E OR F) AND B AND C) OR A; END bdf_type; dec_seg.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY dec_seg IS PORT ( d1 : IN STD_LOGIC; d2 : IN STD_LOGIC; d3 : IN STD_LOGIC; d4 : IN STD_LOGIC; ok : IN STD_LOGIC; a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : OUT STD_LOGIC; d : OUT STD_LOGIC; e : OUT STD_LOGIC; f : OUT STD_LOGIC; g : OUT STD_LOGIC END dec_seg; ARCHITECTURE bdf_type OF dec_seg IS Nd2 : STD_LOGIC; Nd3 : STD_LOGIC; 9

10 Nd4: STD_LOGIC; Nok : STD_LOGIC; BEGIN Nd2 <= NOT(d2 Nd3 <= NOT(d3 Nd4 <= NOT(d4 Nok <= NOT(ok a <= ((d3 AND d4) OR (d2 AND d3 AND Nd4) OR (d2 AND Nd3 AND d4) OR (Nd2 AND Nd4) OR d1) AND Nok; b <= ((Nd2 AND Nd3) OR (d3 AND d4) OR (Nd3 AND Nd4) OR (Nd2 AND Nd4)) AND Nok; c <= ((Nd2 AND Nd3) OR (d3 AND d4) OR (Nd3 AND Nd4) OR (d2 AND d3 AND Nd4) OR (d2 AND Nd3 AND d4)) AND Nok; d <= ((Nd2 AND d3) OR (d2 AND d3 AND Nd4) OR (d2 AND Nd3 AND d4) OR (Nd2 AND Nd4) OR d1) AND Nok; e <= ((d2 AND d3 AND Nd4) OR (Nd2 AND Nd4)) AND Nok; f <= ((Nd3 AND Nd4) OR (d2 AND d3 AND Nd4) OR (d2 AND Nd3 AND d4) OR d1) AND Nok; g <= ((d2 AND Nd4) OR (Nd2 AND d3) OR (d2 AND Nd3 AND d4) OR d1) OR ok; END bdf_type; okt_seg.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY okt_seg IS PORT ( o1 : IN STD_LOGIC; o2 : IN STD_LOGIC; o3 : IN STD_LOGIC; ok : IN STD_LOGIC; a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : OUT STD_LOGIC; d : OUT STD_LOGIC; e : OUT STD_LOGIC; f : OUT STD_LOGIC; g : OUT STD_LOGIC END okt_seg; ARCHITECTURE bdf_type OF okt_seg IS No1 : STD_LOGIC; No2 : STD_LOGIC; No3 : STD_LOGIC; Nok: STD_LOGIC; BEGIN No1 <= NOT(o1 10

11 No2 <= NOT(o2 No3 <= NOT(o3 Nok <= NOT(ok a <= ((o2 AND o3) OR (o1 AND No2 AND o3) OR (o1 AND o2 AND No3) OR (No1 AND No3)) AND Nok; b <= ((No1 AND No2) OR (o2 AND o3) OR (No2 AND No3) OR (No1 AND No3)) AND Nok; c <= ((No1 AND No2) OR (o2 AND o3) OR (No2 AND No3) OR (o1 AND No2 AND o3) OR (o1 AND o2 AND No3)) AND Nok; d <= ((No1 AND o2) OR (o1 AND No2 AND o3) OR (o1 AND o2 AND No3) OR (No1 AND No3)) AND Nok; e <= ((o1 AND o2 AND No3) OR (No1 AND No3)) AND Nok; f <= ((No2 AND No3) OR (o1 AND No2 AND o3) OR (o1 AND o2 AND No3)) AND Nok; g <= ((o1 AND No3) OR (No1 AND o2) OR (o1 AND No2 AND o3)) OR ok; END bdf_type; hex_seg.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY hex_seg IS PORT ( h1 : IN STD_LOGIC; h2 : IN STD_LOGIC; h3 : IN STD_LOGIC; h4 : IN STD_LOGIC; a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : OUT STD_LOGIC; d : OUT STD_LOGIC; e : OUT STD_LOGIC; f : OUT STD_LOGIC; g : OUT STD_LOGIC END hex_seg; ARCHITECTURE bdf_type OF hex_seg IS Nh1 : STD_LOGIC; Nh2 : STD_LOGIC; Nh3 : STD_LOGIC; Nh4: STD_LOGIC; BEGIN Nh1 <= NOT(h1 Nh2 <= NOT(h2 Nh3 <= NOT(h3 Nh4 <= NOT(h4 a <= (Nh1 AND h3 AND h4) OR (Nh1 AND h3 AND Nh4) OR (Nh1 AND h2 AND Nh3 AND h4) OR (h1 AND h2 AND h3) OR (Nh2 AND Nh3 AND Nh4) OR (h1 AND h2 AND Nh4) OR (h1 AND Nh2 AND Nh3) OR (h1 AND Nh2 AND Nh4 11

12 b <= (Nh1 AND Nh3 AND Nh4) OR (Nh1 AND h3 AND h4) OR (Nh2 AND Nh3) OR (Nh1 AND Nh2 AND h3) OR (h1 AND h2 AND Nh3 AND h4) OR (h1 AND Nh2 AND Nh4 c <= (Nh1 AND h3 AND h4) OR (Nh2 AND Nh3) OR (Nh1 AND h2 AND Nh4) OR (h1 AND h2 AND Nh3 AND h4) OR (h1 AND Nh2 AND h3 AND h4) OR (Nh1 AND h2 AND Nh3 AND h4) OR (h1 AND Nh2 AND Nh4 d <= (Nh1 AND Nh2 AND h3) OR (Nh1 AND h3 AND Nh4) OR (h1 AND h2 AND Nh3 AND h4) OR (h1 AND Nh2 AND h3 AND h4) OR (Nh1 AND h2 AND Nh3 AND h4) OR (Nh2 AND Nh3 AND Nh4) OR (h1 AND h2 AND Nh4) OR (h1 AND Nh2 AND Nh3 e <= (Nh1 AND h3 AND Nh4) OR (h1 AND h2 AND Nh3 AND h4) OR (h1 AND Nh2 AND h3 AND h4) OR (h1 AND h2 AND h3) OR (Nh2 AND Nh3 AND Nh4) OR (h1 AND h2 AND Nh4) OR (h1 AND Nh2 AND Nh4 f <= (Nh1 AND h2 AND Nh4) OR (h1 AND Nh2 AND h3 AND h4) OR (Nh1 AND h2 AND Nh3 AND h4) OR (h1 AND h2 AND h3) OR (Nh2 AND Nh3 AND Nh4) OR (h1 AND h2 AND Nh4) OR (h1 AND Nh2 AND Nh3) OR (h1 AND Nh2 AND Nh4 g <= (Nh1 AND Nh2 AND h3) OR (Nh1 AND h2 AND Nh4) OR (h1 AND h2 AND Nh3 AND h4) OR (h1 AND Nh2 AND h3 AND h4) OR (Nh1 AND h2 AND Nh3 AND h4) OR (h1 AND h2 AND h3) OR (h1 AND Nh2 AND Nh3) OR (h1 AND Nh2 AND Nh4 END bdf_type; wybor.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY wybor IS PORT ( d : IN STD_LOGIC; o : IN STD_LOGIC; PBo : IN STD_LOGIC; PBd : IN STD_LOGIC; h : IN STD_LOGIC; seg : OUT STD_LOGIC END wybor; ARCHITECTURE bdf_type OF wybor IS NPBo : STD_LOGIC; NPBd : STD_LOGIC; BEGIN NPBo <= NOT(PBo NPBd <= NOT(PBd seg <= (NPBo AND PBd AND o) OR (NPBd AND PBo AND d) OR (PBo AND PBd AND h END bdf_type;

13 tc.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY TC IS PORT ( A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; D : IN STD_LOGIC; E : IN STD_LOGIC; F : IN STD_LOGIC; G : IN STD_LOGIC; H : IN STD_LOGIC; oct : IN STD_LOGIC; dec : IN STD_LOGIC; a1 : OUT STD_LOGIC; b1 : OUT STD_LOGIC; c1 : OUT STD_LOGIC; d1 : OUT STD_LOGIC; e1 : OUT STD_LOGIC; f1 : OUT STD_LOGIC; g1 : OUT STD_LOGIC; a2 : OUT STD_LOGIC; b2 : OUT STD_LOGIC; c2 : OUT STD_LOGIC; d2 : OUT STD_LOGIC; e2 : OUT STD_LOGIC; f2 : OUT STD_LOGIC; g2 : OUT STD_LOGIC END TC; ARCHITECTURE bdf_type OF TC IS COMPONENT konwersja PORT(A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; D : IN STD_LOGIC; E : IN STD_LOGIC; F : IN STD_LOGIC; G : IN STD_LOGIC; H : IN STD_LOGIC; c24 : OUT STD_LOGIC; c23 : OUT STD_LOGIC; c22 : OUT STD_LOGIC; c21 : OUT STD_LOGIC; c14 : OUT STD_LOGIC; c13 : OUT STD_LOGIC; c12 : OUT STD_LOGIC; c11 : OUT STD_LOGIC; ok : OUT STD_LOGIC END COMPONENT; COMPONENT dec_seg 13

14 PORT(d1 : IN STD_LOGIC; d2 : IN STD_LOGIC; d3 : IN STD_LOGIC; d4 : IN STD_LOGIC; ok : IN STD_LOGIC; a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : OUT STD_LOGIC; d : OUT STD_LOGIC; e : OUT STD_LOGIC; f : OUT STD_LOGIC; g : OUT STD_LOGIC END COMPONENT; COMPONENT okt_seg PORT(o1 : IN STD_LOGIC; o2 : IN STD_LOGIC; o3 : IN STD_LOGIC; ok : IN STD_LOGIC; a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : OUT STD_LOGIC; d : OUT STD_LOGIC; e : OUT STD_LOGIC; f : OUT STD_LOGIC; g : OUT STD_LOGIC END COMPONENT; COMPONENT hex_seg PORT(h1 : IN STD_LOGIC; h2 : IN STD_LOGIC; h3 : IN STD_LOGIC; h4 : IN STD_LOGIC; a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : OUT STD_LOGIC; d : OUT STD_LOGIC; e : OUT STD_LOGIC; f : OUT STD_LOGIC; g : OUT STD_LOGIC END COMPONENT; COMPONENT wybor PORT(PBo : IN STD_LOGIC; PBd : IN STD_LOGIC; o : IN STD_LOGIC; d : IN STD_LOGIC; h : IN STD_LOGIC; seg : OUT STD_LOGIC END COMPONENT; NA : STD_LOGIC; NB : STD_LOGIC; NC : STD_LOGIC; ND : STD_LOGIC; NE : STD_LOGIC; 14

15 NF : STD_LOGIC; NG : STD_LOGIC; NH : STD_LOGIC; okt_ok : STD_LOGIC; d1a : STD_LOGIC; d1b : STD_LOGIC; d1c : STD_LOGIC; d1d : STD_LOGIC; d1e : STD_LOGIC; d1f : STD_LOGIC; d1g : STD_LOGIC; d2a : STD_LOGIC; d2b : STD_LOGIC; d2c : STD_LOGIC; d2d : STD_LOGIC; d2e : STD_LOGIC; d2f : STD_LOGIC; d2g : STD_LOGIC; o1a : STD_LOGIC; o1b : STD_LOGIC; o1c : STD_LOGIC; o1d : STD_LOGIC; o1e : STD_LOGIC; o1f : STD_LOGIC; o1g : STD_LOGIC; o2a : STD_LOGIC; o2b : STD_LOGIC; o2c : STD_LOGIC; o2d : STD_LOGIC; o2e : STD_LOGIC; o2f : STD_LOGIC; o2g : STD_LOGIC; h1a : STD_LOGIC; h1b : STD_LOGIC; h1c : STD_LOGIC; h1d : STD_LOGIC; h1e : STD_LOGIC; h1f : STD_LOGIC; h1g : STD_LOGIC; h2a : STD_LOGIC; h2b : STD_LOGIC; h2c : STD_LOGIC; h2d : STD_LOGIC; h2e : STD_LOGIC; h2f : STD_LOGIC; h2g : STD_LOGIC; dec_ok : STD_LOGIC; c11 : STD_LOGIC; c12 : STD_LOGIC; c13 : STD_LOGIC; c14 : STD_LOGIC; c21 : STD_LOGIC; c22 : STD_LOGIC; c23 : STD_LOGIC; c24 : STD_LOGIC; a1 : STD_LOGIC; b1 : STD_LOGIC; c1 : STD_LOGIC; d1 : STD_LOGIC; e1 : STD_LOGIC; f1 : STD_LOGIC; 15

16 g1 : STD_LOGIC; a2 : STD_LOGIC; b2 : STD_LOGIC; c2 : STD_LOGIC; d2 : STD_LOGIC; e2 : STD_LOGIC; f2 : STD_LOGIC; g2 : STD_LOGIC; BEGIN NA <= NOT(A NB <= NOT(B NC <= NOT(C ND <= NOT(D NE <= NOT(E NF <= NOT(F NG <= NOT(G NH <= NOT(H b2v_inst : konwersja PORT MAP(A => NA, B => NB, C => NC, D => ND, E => NE, F => NF, G => NG, H => NH, c24 => c24, c23 => c23, c22 => c22, c21 => c21, c14 => c14, c13 => c13, c12 => c12, c11 => c11, ok => dec_ok b2v_inst8 : dec_seg PORT MAP(d1 => c11, d2 => c12, d3 => c13, d4 => c14, ok => dec_ok, a => d1a, b => d1b, c => d1c, d => d1d, e => d1e, f => d1f, g => d1g b2v_inst9 : dec_seg PORT MAP(d1 => c21, d2 => c22, d3 => c23, d4 => c24, 16

17 ok => dec_ok, a => d2a, b => d2b, c => d2c, d => d2d, e => d2e, f => d2f, g => d2g okt_ok <= NA OR NB; b2v_inst10 : okt_seg PORT MAP(o1 => NC, o2 => ND, o3 => NE, ok => okt_ok, a => o1a, b => o1b, c => o1c, d => o1d, e => o1e, f => o1f, g => o1g b2v_inst11 : okt_seg PORT MAP(o1 => NF, o2 => NG, o3 => NH, ok => okt_ok, a => o2a, b => o2b, c => o2c, d => o2d, e => o2e, f => o2f, g => o2g b2v_inst13 : hex_seg PORT MAP(h1 => NA, h2 => NB, h3 => NC, h4 => ND, a => h1a, b => h1b, c => h1c, d => h1d, e => h1e, f => h1f, g => h1g b2v_inst14 : hex_seg PORT MAP(h1 => NE, h2 => NF, h3 => NG, h4 => NH, a => h2a, 17

18 b => h2b, c => h2c, d => h2d, e => h2e, f => h2f, g => h2g b2v_inst15 : wybor o => o1a, d => d1a, h => h1a, seg => a1 b2v_inst16 : wybor o => o1b, d => d1b, h => h1b, seg => b1 b2v_inst17 : wybor o => o1c, d => d1c, h => h1c, seg => c1 b2v_inst18 : wybor o => o1d, d => d1d, h => h1d, seg => d1 b2v_inst19 : wybor o => o1e, d => d1e, h => h1e, seg => e1 b2v_inst20 : wybor o => o1f, d => d1f, h => h1f, seg => f1 18

19 b2v_inst21 : wybor o => o1g, d => d1g, h => h1g, seg => g1 b2v_inst22 : wybor o => o2a, d => d2a, h => h2a, seg => a2 b2v_inst23 : wybor o => o2b, d => d2b, h => h2b, seg => b2 b2v_inst24 : wybor o => o2c, d => d2c, h => h2c, seg => c2 b2v_inst25 : wybor o => o2d, d => d2d, h => h2d, seg => d2 b2v_inst26 : wybor o => o2e, d => d2e, h => h2e, seg => e2 b2v_inst27 : wybor o => o2f, d => d2f, h => h2f, 19

20 seg => f2 b2v_inst28 : wybor o => o2g, d => d2g, h => h2g, seg => g2 a1 <= NOT(a1 b1 <= NOT(b1 c1 <= NOT(c1 d1 <= NOT(d1 e1 <= NOT(e1 f1 <= NOT(f1 g1 <= NOT(g1 a2 <= NOT(a2 b2 <= NOT(b2 c2 <= NOT(c2 d2 <= NOT(d2 e2 <= NOT(e2 f2 <= NOT(f2 g2 <= NOT(g2 END bdf_type; 20

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy kombinacyjne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015 Co to jest układ kombinacyjny? Stan wyjść zależy tylko

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 2 (3h) Przełączniki, wyświetlacze, multipleksery - implementacja i obsługa w VHDL Instrukcja pomocnicza do laboratorium

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 8 Temat: Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Instrukcja nr 9. Zegarek cyfrowy. AGH Zespół Mikroelektroniki Układy Elektroniczne J. Ostrowski, P. Dorosz Lab 9.1

Instrukcja nr 9. Zegarek cyfrowy. AGH Zespół Mikroelektroniki Układy Elektroniczne J. Ostrowski, P. Dorosz Lab 9.1 Instrukcja nr 9 Zegarek cyfrowy Lab 9. Przed laboratorium Cel ćwiczenia Celem ćwiczenia jest zbudowanie i uruchomienie zegarka z dekoderem 7- segmentowym na platformie ElVIS. Zegar składa się z trzech

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

Sposoby projektowania systemów w cyfrowych

Sposoby projektowania systemów w cyfrowych Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna.

Architektura komputerów ćwiczenia Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Architektura komputerów ćwiczenia Zbiór zadań IV Bramki logiczne. Układy kombinacyjne. Kanoniczna postać dysjunkcyjna i koniunkcyjna. Wprowadzenie 1 1 fragmenty książki "Organizacja i architektura systemu

Bardziej szczegółowo

KURS Hexcalcul (2) Implementacja kalkulatora kodu BCD na Hex w układzie programowalnym

KURS Hexcalcul (2) Implementacja kalkulatora kodu BCD na Hex w układzie programowalnym KURS Hexcalcul (2) Implementacja kalkulatora kodu BCD na Hex w układzie programowalnym Dodatkowe materiały na CD Na przykładzie dosyć złożonego funkcjonalnie kalkulatora przeliczania kodu BCD na Hex, prezentujemy

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Organizacja laboratorium. Zadania do wykonania w czasie laboratorium z części PSPICE

Organizacja laboratorium. Zadania do wykonania w czasie laboratorium z części PSPICE Organizacja laboratorium W czasie laboratorium należy wykonać 9 ćwiczeń, po 3 z części PSPICE, Verilog oraz VHDL. Ćwiczenia punktowane są odpowiednio po 5, 5, 6 (PSPICE), 5, 6, 6 (Verilog) oraz 5, 6, 6

Bardziej szczegółowo

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ KDEMI MORSK KTEDR NWIGCJI TECHNICZEJ ELEMETY ELEKTRONIKI LORTORIUM Kierunek NWIGCJ Specjalność Transport morski Semestr II Ćw. 4 Podstawy techniki cyfrowej Wersja opracowania Marzec 5 Opracowanie: mgr

Bardziej szczegółowo

SML3 październik 2008

SML3 październik 2008 25 100_LED8 Moduł zawiera 8 diod LED dołączonych do wejść za pośrednictwem jednego z kilku możliwych typów układów (typowo jest to układ typu 563). Schemat Moduł jest wyposażony w dwa złącza typu port

Bardziej szczegółowo

Laboratorium Wykorzystanie kalkulatora Windows do obliczania adresów sieciowych

Laboratorium Wykorzystanie kalkulatora Windows do obliczania adresów sieciowych Laboratorium Wykorzystanie kalkulatora Windows do obliczania adresów sieciowych Cele Część 1: Dostęp do programu Kalkulator. Część 2: Konwersja między systemami liczbowymi Część 3: Konwersja adresu IPv4

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 35 160_7SEG2 Moduł zawiera dwupozycyjny 7-segmentowy wyświetlacz LED ze wspólną anodą, sterowany przez dwa dekodery HEX->7SEG zrealizowane w układach GAL16V8. Dekodery przypominają

Bardziej szczegółowo

DOKUMENTACJA PROJEKTU

DOKUMENTACJA PROJEKTU AKADEMIA GÓRNICZO-HUTNICZA w Krakowie KATEDRA ELEKTRONIKI DOKUMENTACJA PROJEKTU Projekt z przedmiotu Sprzętowa Implementacja Algorytmów: Dekoder klawiatury na PS/2 Prowadzący: Dr inż. Paweł Russek Wykonali:

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD 1. Wstęp i cel ćwiczenia W ćwiczeniu student tworzy barierę podczerwieni złożoną z diody nadawczej IR (Infra

Bardziej szczegółowo

x x

x x DODTEK II - Inne sposoby realizacji funkcji logicznych W kolejnych podpunktach zaprezentowano sposoby realizacji przykładowej funkcji (tej samej co w instrukcji do ćwiczenia "Synteza układów kombinacyjnych")

Bardziej szczegółowo

KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA

KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA Mikrokontrolery AVR KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA Wyprowadzenia Każdy z mikrokontrolerów posiada pewną liczbę wyprowadzeń cyfrowych które służą do wprowadzania i odbierania informacji z mikrokontrolera.

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

Systemy wbudowane. Wprowadzenie. Nazwa. Oznaczenia. Zygmunt Kubiak. Sterowniki PLC - Wprowadzenie do programowania (1)

Systemy wbudowane. Wprowadzenie. Nazwa. Oznaczenia. Zygmunt Kubiak. Sterowniki PLC - Wprowadzenie do programowania (1) ybrane funkcje logiczne prowadzenie L L2 Y Nazwa Oznaczenia Y Sterowniki PLC - prowadzenie do programowania () Proste przykłady Załączenie jednego z dwóch (lub obu) przełączników lub powoduje zapalenie

Bardziej szczegółowo

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01 ĆWICZENIE 01 Ćwiczenie 01 - Strona nr 1 Polecenie: Bez użycia narzędzi elektronicznych oraz informatycznych, wykonaj konwersje liczb z jednego systemu liczbowego (BIN, OCT, DEC, HEX) do drugiego systemu

Bardziej szczegółowo

Modelowanie logiki rewersyjnej w języku VHDL

Modelowanie logiki rewersyjnej w języku VHDL PNIEWSKI Roman 1 Modelowanie logiki rewersyjnej w języku VHDL WSTĘP Konwencjonalne komputery wykorzystują dwuwartościową logikę Boole a. Funkcje opisujące układ cyfrowy wykorzystują najczęściej dwa operatory

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Przetworniki AC i CA

Przetworniki AC i CA KATEDRA INFORMATYKI Wydział EAIiE AGH Laboratorium Techniki Mikroprocesorowej Ćwiczenie 4 Przetworniki AC i CA Cel ćwiczenia Celem ćwiczenia jest poznanie budowy i zasady działania wybranych rodzajów przetworników

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

Katedra Sterowania i InŜynierii Systemów Laboratorium elektrotechniki i elektroniki. Badanie podstawowych bramek logicznych. 2.2 Bramka AND.

Katedra Sterowania i InŜynierii Systemów Laboratorium elektrotechniki i elektroniki. Badanie podstawowych bramek logicznych. 2.2 Bramka AND. Katedra Sterowania i InŜynierii Systemów 4 Temat Badanie podstawowych bramek logicznych 1. Cel ćwiczenia. Celem ćwiczenia jest zapoznanie się z działaniem podstawowych bramek logicznych. 2. Wiadomości

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. Automaty stanów

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. Automaty stanów Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 6 (2h) Automaty stanów Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza układów cyfrowych studia niestacjonarne,

Bardziej szczegółowo

Języki opisu sprzętu VHDL Mariusz Rawski

Języki opisu sprzętu VHDL Mariusz Rawski CAD Języki opisu sprzętu VHDL rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Języki opisu sprzętu System cyfrowy może być opisany na różnych poziomach abstrakcji i z wykorzystaniem różnych sposobów

Bardziej szczegółowo

Architektura systemów komputerowych Laboratorium 13 Symulator SMS32 Operacje na bitach

Architektura systemów komputerowych Laboratorium 13 Symulator SMS32 Operacje na bitach Marcin Stępniak Architektura systemów komputerowych Laboratorium 13 Symulator SMS32 Operacje na bitach 1. Informacje Matematyk o nazwisku Bool wymyślił gałąź matematyki do przetwarzania wartości prawda

Bardziej szczegółowo

Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3.

Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3. Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3. Jak umieszcza się komentarze w pliku symulacyjnym PSPICE? 4.

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia Poznanie zasad działania układów koderów. Budowanie koderów z podstawowych bramek logicznych i układu scalonego Czytanie schematów elektronicznych,

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Modelowanie złożonych układów cyfrowych (1)

Modelowanie złożonych układów cyfrowych (1) Modelowanie złożonych układów cyfrowych () funkcje i procedury przykłady (przerzutniki, rejestry) style programowania kombinacyjne bloki funkcjonalne bufory trójstanowe multipleksery kodery priorytetowe

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

Mikrokontrolery AVR Wprowadzenie

Mikrokontrolery AVR Wprowadzenie Mikrokontrolery AVR Wprowadzenie Komunikacja z otoczeniem mikrokontrolera Każdy z mikrokontrolerów posiada pewna liczbę wyprowadzeń cyfrowych które służą do wprowadzania i odbierania informacji z mikrokontrolera.

Bardziej szczegółowo

Temat 7. Dekodery, enkodery

Temat 7. Dekodery, enkodery Temat 7. Dekodery, enkodery 1. Pojęcia: koder, dekoder, enkoder, konwerter kodu, transkoder, enkoder priorytetowy... Koderami (lub enkoderami) nazywamy układy realizujące proces zamiany informacji kodowanej

Bardziej szczegółowo

Architektura systemów komputerowych Laboratorium 10 Symulator SMS32 Urządzenia wejścia i wyjścia

Architektura systemów komputerowych Laboratorium 10 Symulator SMS32 Urządzenia wejścia i wyjścia Marcin Stępniak Architektura systemów komputerowych Laboratorium 10 Symulator SMS32 Urządzenia wejścia i wyjścia 1. Informacje Symulator SMS32 posiada kilka urządzeń peryferyjnych (wejściowych i wyjściowych)

Bardziej szczegółowo

Układy cyfrowe. Najczęściej układy cyfrowe służą do przetwarzania sygnałów o dwóch poziomach napięć:

Układy cyfrowe. Najczęściej układy cyfrowe służą do przetwarzania sygnałów o dwóch poziomach napięć: Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane są wartości liczbowe. Najczęściej układy cyfrowe służą do przetwarzania

Bardziej szczegółowo

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder

Automatyka. Treść wykładów: Multiplekser. Układ kombinacyjny. Demultiplekser. Koder Treść wykładów: utomatyka dr inż. Szymon Surma szymon.surma@polsl.pl http://zawt.polsl.pl/studia pok., tel. +48 6 46. Podstawy automatyki. Układy kombinacyjne,. Charakterystyka,. Multiplekser, demultiplekser,.

Bardziej szczegółowo

Ćwiczenie 28. Przy odejmowaniu z uzupełnieniem do 2 jest wytwarzane przeniesienie w postaci liczby 1 Połówkowy układ

Ćwiczenie 28. Przy odejmowaniu z uzupełnieniem do 2 jest wytwarzane przeniesienie w postaci liczby 1 Połówkowy układ Temat: Układy odejmujące połówkowe i pełne. Cel ćwiczenia Ćwiczenie 28 Poznanie teorii uzupełniania. Budowanie układów odejmujących połówkowych pełnych. Czytanie schematów elektronicznych, przestrzeganie

Bardziej szczegółowo

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów.

Rys. 2. Symbole dodatkowych bramek logicznych i ich tablice stanów. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z funktorami realizującymi podstawowe funkcje logiczne poprzez zaprojektowanie, wykonanie i przetestowanie kombinacyjnego układu logicznego realizującego

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Projektowanie hierarchiczne Mariusz Rawski

Projektowanie hierarchiczne Mariusz Rawski CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości

Bardziej szczegółowo

Wielkość analogowa w danym przedziale swojej zmienności przyjmuje nieskończoną liczbę wartości.

Wielkość analogowa w danym przedziale swojej zmienności przyjmuje nieskończoną liczbę wartości. TECHNOLOGE CYFOWE kłady elektroniczne. Podzespoły analogowe. Podzespoły cyfrowe Wielkość analogowa w danym przedziale swojej zmienności przyjmuje nieskończoną liczbę wartości. Wielkość cyfrowa w danym

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Ćwiczenie 1 Program Electronics Workbench

Ćwiczenie 1 Program Electronics Workbench Systemy teleinformatyczne Ćwiczenie Program Electronics Workbench Symulacja układów logicznych Program Electronics Workbench służy do symulacji działania prostych i bardziej złożonych układów elektrycznych

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

Ćwiczenie 2. Siedmiosegmentowy wyświetlacz LED

Ćwiczenie 2. Siedmiosegmentowy wyświetlacz LED Ćwiczenie 2 Siedmiosegmentowy wyświetlacz LED 2-1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się studentów ze sposobem obsługi wielopozycyjnego 7-segmentowego wyświetlacza LED multipleksowanego programowo

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

F&F Filipowski Sp. J Pabianice, ul. Konstantynowska 79/81 tel KARTA KATALOGOWA

F&F Filipowski Sp. J Pabianice, ul. Konstantynowska 79/81 tel KARTA KATALOGOWA 95-00 Pabianice, ul. Konstantynowska 79/81 tel. +48 4 15 3 83 www.fif.com.pl KARTA KATALOGOWA rh-ir16 LR Nadajnik / odbiornik podczerwieni systemu F&Home RADIO. Wersja LR powiększony zasięg. 95-00 Pabianice,

Bardziej szczegółowo

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne 1. Bit Pozycja rejestru lub komórki pamięci służąca do przedstawiania (pamiętania) cyfry w systemie (liczbowym)

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze.

Ćw. 1: Systemy zapisu liczb, minimalizacja funkcji logicznych, konwertery kodów, wyświetlacze. Lista zadań do poszczególnych tematów ćwiczeń. MIERNICTWO ELEKTRYCZNE I ELEKTRONICZNE Studia stacjonarne I stopnia, rok II, 2010/2011 Prowadzący wykład: Prof. dr hab. inż. Edward Layer ćw. 15h Tematyka

Bardziej szczegółowo

L6.1 Systemy liczenia stosowane w informatyce

L6.1 Systemy liczenia stosowane w informatyce L6.1 Systemy liczenia stosowane w informatyce Projekt współfinansowany przez Unię Europejską w ramach Europejskiego Funduszu Społecznego Publikacja jest dystrybuowana bezpłatnie Program Operacyjny Kapitał

Bardziej szczegółowo

Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia

Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia Ćwiczenie 25 Temat: Interfejs między bramkami logicznymi i kombinacyjne układy logiczne. Układ z bramkami NOR. Cel ćwiczenia Zapoznanie się z techniką połączenia za pośrednictwem interfejsu. Zbudowanie

Bardziej szczegółowo

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat: Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych SPIS TREŚCI 1. Wymagania...3

Bardziej szczegółowo

Synteza układów kombinacyjnych metodą tablic Karnaugha - ćwiczenie 10

Synteza układów kombinacyjnych metodą tablic Karnaugha - ćwiczenie 10 Synteza układów kombinacyjnych metodą tablic Karnaugha - ćwiczenie 10 1. Cel ćwiczenia: Celem ćwiczenia jest praktyczna realizacja układu kombinacyjnego na podstawie funkcji boolowskich wyznaczonych na

Bardziej szczegółowo

Laboratorium z podstaw techniki cyfrowej Studia inżynierskie niestacjonarne/stacjonarne, II rok III semestr, 2016/2017. W ramach laboratorium używamy:

Laboratorium z podstaw techniki cyfrowej Studia inżynierskie niestacjonarne/stacjonarne, II rok III semestr, 2016/2017. W ramach laboratorium używamy: Laboratorium z podstaw techniki cyfrowej Studia inżynierskie niestacjonarne/stacjonarne, II rok III semestr, 2016/2017 W ramach laboratorium używamy: - oprogramowanie: QUARTUS 13.0 sp1 firmy Altera i -

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Moduł 2 Zastosowanie systemów liczbowych w informacji cyfrowej

Moduł 2 Zastosowanie systemów liczbowych w informacji cyfrowej Moduł 2 Zastosowanie systemów liczbowych w informacji cyfrowej 1. Pozycyjne systemy liczbowe 2. Zasady zapisu liczb w pozycyjnych systemach liczbowych 3. Podstawowe działania na liczbach binarnych 4. Liczby

Bardziej szczegółowo

Programowanie Niskopoziomowe

Programowanie Niskopoziomowe Programowanie Niskopoziomowe Wykład 2: Reprezentacja danych Dr inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Kilka ciekawostek Zapisy binarny, oktalny, decymalny

Bardziej szczegółowo

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Licznik binarny Licznik binarny jest najprostszym i najpojemniejszym licznikiem. Kod 4 bitowego synchronicznego licznika binarnego

Bardziej szczegółowo

Jednostki miar stosowane w sieciach komputerowych. mgr inż. Krzysztof Szałajko

Jednostki miar stosowane w sieciach komputerowych. mgr inż. Krzysztof Szałajko Jednostki miar stosowane w sieciach komputerowych mgr inż. Krzysztof Szałajko Jednostki wielkości pamięci Jednostka Definicja Przykład Bit (b) 0 lub 1 Włączony / wyłączony Bajt (B) = 8 b Litera w kodzie

Bardziej szczegółowo

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5.

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Klasa III Opracuj projekt realizacji prac związanych z badaniem działania cyfrowych bloków arytmetycznych realizujących operacje

Bardziej szczegółowo

Funkcja Boolowska a kombinacyjny blok funkcjonalny

Funkcja Boolowska a kombinacyjny blok funkcjonalny SWB - Kombinacyjne bloki funkcjonalne - wykład 3 asz 1 Funkcja Boolowska a kombinacyjny blok funkcjonalny Kombinacyjny blok funkcjonalny w technice cyfrowej jest układem kombinacyjnym złożonym znwejściach

Bardziej szczegółowo

Układy kryptograficzne z uŝyciem rejestrów LFSR

Układy kryptograficzne z uŝyciem rejestrów LFSR Układy kryptograficzne z uŝyciem rejestrów FSR Algorytmy kryptograficzne uŝywane w systemach telekomunikacyjnych własność modulo 2 funkcji XOR P K K = P = P 2 Rejestr z liniowym sprzęŝeniem zwrotnym FSR

Bardziej szczegółowo

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna.

Układy kombinacyjne Y X 4 X 5. Rys. 1 Kombinacyjna funkcja logiczna. Układy kombinacyjne. Czas trwania: 6h. Cele ćwiczenia Przypomnienie podstawowych praw Algebry Boole a. Zaprojektowanie, montaż i sprawdzenie działania zadanych układów kombinacyjnych.. Wymagana znajomość

Bardziej szczegółowo

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ĆWICZENIE 1) UKŁADY PRZEŁĄCZAJĄCE OPARTE NA ELEMENTACH STYKOWYCH PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ZAPOZNANIE SIĘ Z TREŚCIĄ INSTRUKCJI CEL ĆWICZENIA: Celem ćwiczenia jest poznanie:

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE

PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ĆWICZENIE 1) UKŁADY PRZEŁĄCZAJĄCE OPARTE NA ELEMENTACH STYKOWYCH PRZED PRZYSTĄPIENIEM DO ZAJĘĆ PROSZĘ O BARDZO DOKŁADNE ZAPOZNANIE SIĘ Z TREŚCIĄ INSTRUKCJI CEL ĆWICZENIA: Celem ćwiczenia jest poznanie:

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie x i ={,} y i ={,} w., p. Bramki logiczne czas propagacji Odpowiedź na wyjściu bramki następuje po pewnym, charakterystycznym dla danego układu czasie od momentu zmiany sygnałów wejściowych.

Bardziej szczegółowo

STANOWISKO DO BADANIA AKUMULACJI I PRZETWARZANIA ENERGII ELEKTRYCZNEJ (analiza energetyczna)

STANOWISKO DO BADANIA AKUMULACJI I PRZETWARZANIA ENERGII ELEKTRYCZNEJ (analiza energetyczna) FIRMA INNOWACYJNO -WDROśENIOWA ul. Krzyska 15 33-100 Tarnów tel.: 0146210029, 0146360117, 608465631 faks: 0146210029, 0146360117 mail: elbit@resnet.pl www.elbit.resnet.pl STANOWISKO DO BADANIA AKUMULACJI

Bardziej szczegółowo

Znaki w tym systemie odpowiadają następującym liczbom: I=1, V=5, X=10, L=50, C=100, D=500, M=1000

Znaki w tym systemie odpowiadają następującym liczbom: I=1, V=5, X=10, L=50, C=100, D=500, M=1000 SYSTEMY LICZBOWE I. PODZIAŁ SYSTEMÓW LICZBOWYCH: systemy liczbowe: pozycyjne (wartośd cyfry zależy od tego jaką pozycję zajmuje ona w liczbie): niepozycyjne (addytywne) (wartośd liczby jest sumą wartości

Bardziej szczegółowo

Poradnik programowania procesorów AVR na przykładzie ATMEGA8

Poradnik programowania procesorów AVR na przykładzie ATMEGA8 Poradnik programowania procesorów AVR na przykładzie ATMEGA8 Wersja 1.0 Tomasz Pachołek 2017-13-03 Opracowanie zawiera opis podstawowych procedur, funkcji, operatorów w języku C dla mikrokontrolerów AVR

Bardziej szczegółowo

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10 Zadania do wykładu 1,. 1. Zapisz liczby binarne w kodzie dziesiętnym: (1011011) =( ) 10, (11001100) =( ) 10, (101001, 10110) =( ) 10. Zapisz liczby dziesiętne w naturalnym kodzie binarnym: (5) 10 =( ),

Bardziej szczegółowo

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium.

Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Ćwiczenie nr 1 Temat: Ćwiczenie wprowadzające w problematykę laboratorium. Zagadnienia do samodzielnego opracowania: rola sygnału taktującego (zegara) w układach synchronicznych; co robi sygnał CLEAR (w

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo