Programowalne układy logiczne kod kursu: ETD Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek dr inż.

Wielkość: px
Rozpocząć pokaz od strony:

Download "Programowalne układy logiczne kod kursu: ETD Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek dr inż."

Transkrypt

1 Programowalne układy logiczne kod kursu: ETD Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek dr inż. Daniel Kopiec

2 Informacje Prowadzący: Konsultacje: Strona kursu: mgr inż. Maciej Rudek WT: 015a/104b C2 g PT: 015a/104b C2 g (?) Kontakt: lab. 015a lub 104b w C2 tel

3 Kalendarz

4 Wymagania wstępne cel Zakładam, że podstawy już opanowane W tym roku ciąg dalszy techniki cyfrowej i VHDL-a w praktyce 4

5 5 Tematyka kursu wykład

6 Tematyka kursu - projekt / Quatrus Prime -? 6 8 spotkań po 2 godz. (90 min) i 1 spotkanie 1 godz. (45 min)

7 Zaliczenie Wykład: cykl 7 wykładów kolokwium zaliczeniowe -> test wyboru, termin: ostatni wykład tj. 2 czerwca 2017 godz. 13:15, poprawa: odpowiedź ustna 13 czerwca 2017 godz. 13:15. 7

8 Zaliczenie zajęcia projektowe Projekt: 8 spotkań, praca na zajęciach kilka zadań realizowanych w czasie zajęć, projekt indywidualny: wybór tematu, założenia projektowe, kod programu, uruchomienie, szczegółowa dokumentacja projektu, prezentacja. Realizacja projektu: od drugich zajęć 8

9 Literatura kursu O jezyku Verilog i układach programowalnych FPGA: [1] D. Kania, Układy logiki programowalnej, Podstawy syntezy i sposoby odwzorowania technologicznego, Wydawnictwo PWN (2012) [2] J. Majewski, P. Zbysiński, Układy FPGA w przykładach, Wydawnictwo BTC, [3] P. Zbysiński, J. Pasierbiński, Układy programowalne pierwsze kroki, wydanie 2, BTC Warszawa, 2004 [4] J. Pasierbiński, P. Zbysiński, Układy programowalne w praktyce, Wydawnictwa Komunikacji i Łączności, 2001 [5] Z. Hajduk, Wprowadzenie do języka Verilog, Wydawnictwo BTC, 2009 [6] T. Łuba, B. Zbierzchowski, Komputerowe projektowanie układów cyfrowych, WKŁ, 2000 [7] Z. Hajduk, Wprowadzenie do języka Verilog, Wydawnictwo BTC, 2009 [8] P. Minns, E. Ian, FSM-based digital design using Verilog HDL, John Wiley & Sons, 2008 [9] P.P. Chu, FPGA prototyping by Verilog examples: Xilinx Spartan-3 version. John Wiley & Sons, 2011 [10] D. Donald, P. Moorby, The Verilog Hardware Description Language, Vol. 2. Springer Science & Business Media, 2002 Źródła internetowe: [1] [2] [3] [4] [5] 9

10 Prawo Moore a Prawo Moore a 1965 przewiduje wykładniczy wzrost liczby tranzystorów w układach scalonych co miesięcy

11 od tranzystora do FPGA pierwszy tranzystor ostrzowy skonstruowany w laboratoriach firmy Bell Telephone Laboratories przez Johna Bardeena oraz Waltera Housera Brattaina, 1949 pierwszy tranzystor złączowy Shockley, 1958 pierwszy układ scalony, Jack Kilby, Texas Instruments, (Kilby zastosował german i połączenia drucikami) 1959 pierwszy monolityczny krzemowy prototyp układu scalonego Robert Noyce, Fairchild Sem pierwsze planarne układy scalone, Fairchild Sem. i Texas Instruments Prawo Moore a 1965 przewiduje wykładniczy wzrost liczby tranzystorów w układach scalonych co miesięcy 1967 Micromosaic precursoc ASIC, (Application-Specific Integrated Circuit - ASIC) 1970 pamięć statyczna RAM (256 bitów) Fairchild, symbol 4100, 1970 pamięć dynamiczna RAM (1024 bity) Intel, symbol 1103, 1971 opracowanie pierwszego mikroprocesora 4-bitowego Intel 4004, (2300 tranzystorów, 34 rozkazy, 60k rozkazów/s), rok później Intel 8008 (8-bit, 45 rozkazów, 300k rozkazów/s) 1975 prekursor PLD opracowany przez firmę Signetics układ o strukturze PLA 1978 opracowanie układu o strukturze PAL przez firmę Monolithic Memoriec 1980 technologia CMOS, dominują układy BiCMOS i SOI, pierwszy milion tranzystorów w jednym układzie 1985 narodziny FPGA firma Xilinx Pierwszy tranzystor, 1947 Pierwszy układ scalony, 1958 Pierwszy monolityczny układ scalony,1959

12 Czym jest VLSI? VLSI (Very-large-scale integration) jest to proces łączenia ze sobą tysięcy tranzysotrów w pojedynczym chipie krzemowym w celu wykonania układu scalonego IC (integrated circuit). VLSI znane jest od lat 70 ch i zanim się pojawiło układy elektroniczne pełniły tylko jedna funkcję np. ROM, RAM, CPU. Wraz z pojawieniem się techniki VLSI możliwe było wykonanie ich w pojedynczym chipie jednym słowem wspomaga procedurę projektową IC. Skala integracji Liczba tranzystorów SSI Smal-Scale Integration MSI Medium-Scale In LSI Large-Scale In VLSI Very Large-Scale In ULSI Ultra Large-Scale In. >= 10 7

13 Czym jest VLSI Zasadniczo istnieją trzy typy zastosowania technologii VLSI: Analogowe wzmacniacze, filtry, przetworniki danych, pętle synchronizacji fazowych (PLL), sensory... ASIC urządzenia które realizują ściśle określone zadanie np.: procesory sygnałowe, układy graficzne, kodeki dźwięku/grafiki SoC (system on chip) kompletny układ cyfrowy, elementy cyfrowe, analogowe, radiowe. Ze względu na złożoność często poszczególne elementy pochodzą od różnych dostawców

14 Procedura projektowa IC Specyfikacja Co ma dany układ wykonywać, in/out Architektura Podstawowe zasoby, połączenia, Rejestry-komunikacja maszyny stanów, połączenia Logika Bramki, przełączniki, zatrzaski, połączenia Schemat Tranzystory, elementy elektryczne, połączenia Weryfikacja Realizacja - fabrykacja Maski itp.

15 VLSI vs HDL vs ASICS vs FPGA VHDL(VHSIC HDL czyli Very High Speed Integrated Circuit Hardware Description Language) and VERILOG są językami programowania które pomagają w automatyzacji projektowania IC. Umożliwiają projektowanie, symulacje zanim zostanie wykonana fizyczna struktura. Pozwala to na wykonanie układu na poziomie abstrakcji RTL (register transfer level) oraz pozwala na transfer tego czegoś do postaci bramek logicznych oraz połączeń między nimi czyli przejście do wykonania schematu połączeń i planowania rozmieszczenia elementów. Co to takiego to RTL? I o co chodzi z poziomami abstrakcji?

16 Poziomy abstrakcji Behavioral najwyższy poziom abstrakcji, określa zachowanie układu, lub jego otoczenie. Jego postać zbliżona jest do algorytmu jak w programie komputerowym, NIE jest syntezowalny. RTL niższy poziom abstrakcji, Jest zdefiniowany przez połączone ze sobą przerzutniki, multipleksery, zatrzaski określa sprzęt (hardware) który ma być wykorzystany oraz sposób wymiany danych między tymi komponentami. JEST syntezowalny. Gate level najniższy poziom abstrakcji, z wykorzystaniem elementów logicznych, bramek. Nie musi być syntezowalny lub może być wynikiem syntezy.

17 VLSI vs HDL vs ASICS vs FPGA Więc jaka jest różnica między VLSI a HDL? VLSI są to duże układy oraz użyta technologia do ich wytworzenia HDL technika opisu sprzętu za pomocą języka VHDL lub/i Verilog

18 VLSI vs HDL vs ASICS vs FPGA

19 FPGA vs ASIC FPGA Krótszy czas wprowadzania produktu Brak początkowe wydatków na NRE (non-recurring engineering) Prostsze cykl projektowania Bardziej przewidywalny cykl projektu Reprogramowalne pola ASIC Pełna możliwość niestandardowej konfiguracji Niższe koszty jednostkowe (przy wielkich partiach produkcyjnych) Small Form Factor SSF zmniejszanie rozmiarów urządzenia do minimum

20 Producenci ukł. programowalnych 20, Altium Designer

21 Definicja układu programowalnego Układ programowalny to układ scalony, którego właściwości funkcjonalne nie są definiowane przez producenta, lecz przez końcowego użytkownika. Najważniejszą cechą jest możliwość nadawania im określonych cech funkcjonalnych przez programistę. Układ programowalny jest wytwarzany z pełnym zestawem połączeń usuwanych w trakcie programowania w specjalnym programatorze wg. zaprojektowanej maski przepaleń. 21

22 22 Klasyfikacja układów logicznych

23 Cel stosowania logicznych układów programowalnych Załóżmy, że musimy powielić ten schemat 100 razy 1 układ scalony a to jego fizyczna realizacja 30 lat temu 23

24 PLD PAL - Programmable Logic Arrays PLA - Programmable Array Logic GAL 16V8 zajrzyjmy do wnętrza Standardowy symbol bramki AND i jego odpowiednik w diagramie struktury PLA, PAL 24 Szkic architektury układów PLD o strukturze PAL i PLA

25 Architektura układów CPLD i FPGA Szkic architektury układów CPLD oraz FPGA CPLD FPGA 25 CPLD zapewnia stałe opóźnienie, przewidywalne czasy propagacji

26 Podział układów programowalnych Procesory strukturalne Procesory proceduralne ASIC/ASSP HCPLD PLD SPLD PLE OR PLA AND PAL OR i AND GAL PAL + rekonfiguracja CPLD PROM FPGA PLE PLA PAL GAL

27 Struktura wewnętrzna 27 PROCESOR, MIKROKONTROLER FPGA

28 28 Macierze połączeniowe

29 Technologia połączeń wewnętrznych FUSE bezpieczniki ANTIFUSE antybezpieczniki przewodzi po przepaleniu programowane jednokrotnie dane o konfiguracji nie są przenoszone poza układ, revers enginering praktycznie niemożliwy brak wewnętrznych tranzystorów stosowane w lotnictwie i aeronautyce niezawodne, odporne na promieniowanie 20% mniejsze zużycie mocy mniejsza powierzchni układu szybsze działanie brak pojemności tranzystorów droższe w produkcji, nie nadają się do budowy prototypów EPROM kasowalne światłem UV EEPROM kasowalne elektrycznie (reprogramowalna) FLASH programowanie wielokrotne, zawartość pamięci nieulotna droższe ze względu na integrację pamięci FLSAH, skomplikowany proces technologiczny większy pobór mocy 29 SRAM programowane wielokrotnie oparte na technologii CMOS SRAM ulotne, konieczność ponownego programowanie po wyłączeniu zasilania, ładownie z zewnętrznej pamięci EPROM lub FLASH opóźniony start urządzenia ze względu na czas ładowania programu rozmiar fizyczny komórki SRAM o rząd większe niż rozmiary złącza programowalnego

30 XILINX vs ALTERA XILINX ALTERA MAX 10

31 XILINX vs ALTERA

32 XILINX vs ALTERA Logic array blocks LAB - ALTERA configurable logic blocks CLB - XILINX

33 Przykładowe pytania 1. Klasyfikacja układów programowalnych. 2. Wskazać zasadnicze różnice między układami PLA, PLE oraz PAL. 3. Wskazać różnice w budowie układów CPLD oraz FPGA. 4. Czym różni się VLS od FDL? 5. Czym różnią się układy HCPLD od SPLD? 6. Wskazać różnicę między mikrokontrolerem a procesorem. 7. Czy układy CPLD/FPGA realizują operacje sekwencyjnie czy równolegle? 8. Na jakie parametry układu CPLD/FPGA należy zwrócić uwagę? Jakie są poziomy napięć akceptowane przez układy FPGA?

34 Programowalne układy logiczne kod kursu: ETD Wprowadzenie do Altery W mgr inż. Maciej Rudek

35 Makieta - Altera FPGA 10M08DAF256C8GES 8000 komórek LE 378 kb konfigurowalnej pamięci M9K 1376 kb wewnętrznej pamięci Flash dla aplikacji użytkownika Flash Wewnętrzny generator 12-bitowy przetwornik 24 sprzętowe multiplikatory 2 wewnętrzne generatory PLL sensor temperatury interfejsy LVDS

36 Makieta - Altera 5 kanałów ADC (12 bitów/1 MSPS) z ochroną nadnapięciową (zakres pomiarowy 0 +2,5 V) Interfejs HDMI (z warstwą fizyczną CEC oraz DDC) Interfejs wideo VGA Generator sygnału zegarowego 10 MHz 4 diody LED dla aplikacji użytkownika 2 diody LED sygnalizacyjne (zasilanie, konfiguracja FPGA) Potencjometr analogowy Gniazdo karty Flash MicroSD Złącze konwertera USB/UART Zasilacz na układach Altera Enpirion Przycisk globalnego zerowania Zasilanie z USB (z bezpiecznikiem polimerowym) lub napięciem 5 V z zewnętrznego zasilacza Złącze JTAG zgodne z USB Blasterem

37 Wybór na stronie

38 Wybór na stronie

39 Wybór na stronie

40 Pobieranie plików

41 Instalacja

42 Instalacja

43 Obsługa programu

44 10M08DAF256C8GES

45 Makieta

46 Czujnik temperatury STLM20W87F firmy STMicroelectronics Cztery wyświetlacze 7-segmentowe, sterowane multipleksowo Dwie diody wielokolorowe (RGB) typu WS2812B Wbudowane dwa przyciski użytkownika oraz przycisk zerowania

47 Programowalne układy logiczne kod kursu: ETD Wprowadzenie do Altery W mgr inż. Maciej Rudek dr inż. Daniel Kopiec

48 Tematyka kursu - projekt 4 8 spotkań po 2 godz. (90 min) i 1 spotkanie 1 godz. (45 min)

49 TERMIN 2 1. Wybór tematu projektu (przemyśleć): lista na stronie www, propozycja własna. 2. Założenia projektowe do wybranego tematu: układ, urządzenie, peryferia, ogólna koncepcja, zasada działania, zastosowanie. 3. Proces realizacji: schemat działania, diagram przepływu danych, algorytm opis symboli, bloków. 4. Zasoby sprzętowe: ilość potrzebnych układów peryferyjnych, ocena możliwości implementacji w sprzęt. 5 Ocena na podstawie raportu *.doc, z powyższych punktów

50 TERMIN Realizacja koncepcji projektowej kod VHDL, diagramy, maszyna/y stanów itp, ograniczenia. 2. Kodowanie testy, głównie testbench, komponenty, schemat kodowy, troska o zasoby sprzętowe. 3. Implementacja testy poszczególnych funkcjonalności ze sprzętem, testowanie peryferiów, np. DAC, ADC, DS18B20 itp. 5 Ocena na podstawie postępów realizacji założeń

51 TERMIN 8 Oddanie projektu Dokumentacja: PDF Prezentacja ustna - PPT 5 7 min Test ze sprzętem - implementacja Zaliczenie: na podstawie projektu oraz ocen cząstkowych: waga oceny z projektu indywidualnego: 65% 5 Proszę brać pod uwagę fakt, że na realizacją projektu należy poświęcić więcej czasu niż wynika to z ilości godzin przeznaczonych na projekt.

52 Dokumentacja projektu - zawartość 5 1. Temat projektu 2. Ogólny opis projektu z zaznaczeniem jego funkcji 3. Założenia projektowe, ograniczenia, koncepcja 4. Zastosowane urządzenia peryferyjne np. LCD, ADC, itp. lista wraz z opisem zasady działania 5. Schematy maszyn stanów, diagramy przepływu danych, opis realizowanych funkcji przez poszczególne bloki 6. Podział projektu na komponenty 7. Schemat projektu generowany z ISE 8. Symulacja test bench 9. Raport z syntezy HDL, wykorzystane zasoby 10. Wynik implementacji 11. Wnioski

53 Lista tematów 1. Analizator stanów logicznych. 2. Cyfrowy regulator PID - sterowanie temperaturą. 3. Obsługa interfejsu VGA - wyświetlenie dowolnego tekstu na ekranie monitora. 4. Obsługa interfejsu PS2 - odczyt danych z klawiatury komputera. 5. Obsługa wyświetlacza LCD ze sterownikiem HD Obsługa portu szeregowego - komunikacja z PC. 7. Częstotliwościomierz sygnałów okresowo zmiennych. 8. Generator sygnału sinusoidalnego o regulowanej częstotliwości. 9. Generator sygnałów arbitralnych. 10. Trójkanałowy sterownik PWM o regulowanych parametrach. 11. Realizacja maszyny stanów - pralka, automat do kawy itp Implementacja algorytmu szybkiej transformaty Fouriera - FFT. 5

54 Lista tematów 13. Odbiornik SDR - Software Defined Radio. 14. Obsługa interfejsu USB - komunikacja z PC. 15. Obsługa interfejsu ETHERNET - serwer lub klient, komunikacja. 16. Termometr DS18B20 - pogodynka 17. Organki syntezer częstotliwości 18. Zegar czasu rzeczywistego godziny, minuty, sekundy 19. Stoper licznik czasu 20. Woltomierz, multimetr analogowy 21. Sterowane enkoderem źródło napięcia w zakresie działania przetworników DAC 22. Modulator, modulacja amplitudowa sygnałów 23. Implementacja własnego procesora w strukturze programowalnej 24. Jednostka ALU kalkulator 5

55 Obsługa portu szeregowego - komunikacja z PC Projekt powinien zawierać: - informację na temat transmisji szeregowej (wymagane linie, poziomy napięć), - specyfikacja sprzętowa, - budowa ramki danych, - maszyna stanów, - kod, symulacja - implementacja 56

56 Obsługa portu szeregowego - komunikacja z PC Projekt powinien zawierać: - testy komunikacji z PC np. przez Docklight, Terminal, Putty 57

57 Analizator stanów logicznych Projekt powinien zawierać: - specyfikację, czyli informację o parametrach sygnałów wejściowych: poziomy logiczne, możliwość identyfikacji standardowych ramek, pakietów danych - specyfikacja sprzętowa, jak będzie prezentowany wynik analizy, ile kanałów będzie obsługiwanych, - sposób implementacji projektu, - kod, testy - implementacja Prezentacja wyniku: - wyświetlacz alfanumeryczny 2 x 16, - wyświetlacz 4 x 7 segmentów, - monitor, - transmisja do PC 58

58 Termometr DS18B20 - pogodynka Projekt powinien zawierać: - specyfikację, zakres mierzonych temperatur, - schemat połączeniowy - informacje na temat interfejsu 1-wire, - sposób prezentacji wyniku, - sposób implementacji projektu, - kod, testy - implementacja Prezentacja wyniku: - wyświetlacz alfanumeryczny 2 x 16, - wyświetlacz 4 x 7 segmentów, - monitor, - transmisja do PC, - diody LED 59

59 Woltomierz, multimetr analogowy Projekt powinien zawierać: - specyfikację, zakres mierzonych napięć, - schemat połączeniowy, blokowy, - informacje na temat wykorzystywanych układów peryferyjnych DAC, ADC itp.. - sposób prezentacji wyniku, - sposób implementacji projektu, - kod, testy - implementacja Prezentacja wyniku: - wyświetlacz alfanumeryczny 2 x 16, - wyświetlacz 4 x 7 segmentów, - monitor, - transmisja do PC, - diody LED 60

60 Zegar czasu rzeczywistego, stoper Projekt powinien zawierać: - specyfikację, czyli co i jak długo liczymy co wyświetlamy, - schemat połączeniowy, blokowy - sposób prezentacji wyniku, - sposób implementacji projektu, - kod, testy - implementacja Prezentacja wyniku: - wyświetlacz alfanumeryczny 2 x 16, - wyświetlacz 4 x 7 segmentów, - monitor, - transmisja do PC, - diody LED 61

61 Pytania? sugestie? uwagi? 62

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Wprowadzenie do techniki FPGA W1 21.02.2018 mgr inż. Maciej Rudek dr inż. Daniel Kopiec Informacje Prowadzący: Konsultacje: Strona kursu: mgr inż. Maciej

Bardziej szczegółowo

Programowalne układy logiczne kod kursu: ETD Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek

Programowalne układy logiczne kod kursu: ETD Wprowadzenie do techniki FPGA W mgr inż. Maciej Rudek Programowalne układy logiczne kod kursu: ETD008270 Wprowadzenie do techniki FPGA W1 22.02.2018 mgr inż. Maciej Rudek Informacje Prowadzący: Konsultacje: Strona kursu: mgr inż. Maciej Rudek Wt: 015a/104b

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu:

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu: Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) MAXimator Zestaw startowy z nowoczesnym układem FPGA z rodziny Altera MAX10, wyposażony w złącze zgodne z Arduino Uno Rev 3, interfejsy wideo HDMI+CEC+DCC

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2010 2015 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Cyfrowe układy scalone Układy cyfrowe

Bardziej szczegółowo

Opis przedmiotu zamówienia CZĘŚĆ 1

Opis przedmiotu zamówienia CZĘŚĆ 1 Opis przedmiotu zamówienia CZĘŚĆ 1 Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2012 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Publikacja współfinansowana ze środków

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Opis przedmiotu zamówienia

Opis przedmiotu zamówienia Opis przedmiotu zamówienia Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają służyć

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44 Synteza systemów reprogramowalnych /27 dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 07, tel. 449 55 44 FPGA, CPLD, SPLD 945 950 955 960 965 970 975 980 985 990 995 2000 0 D CLK update v cur Q Q 0

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Języki opisu sprzętu Rok akademicki: 2013/2014 Kod: JIS-1-015-s Punkty ECTS: 5 Wydział: Fizyki i Informatyki Stosowanej Kierunek: Informatyka Stosowana Specjalność: - Poziom studiów: Studia

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Ryszard J. Barczyński, 2 25 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Układy cyfrowe stosowane są do przetwarzania informacji zakodowanej

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 6.4.26 dr inż. Daniel Kopiec Plan wykładu Pamięć w układach programowalnych Zasada działania, podział pamięci Miara

Bardziej szczegółowo

ZL19PRG. Programator USB dla układów PLD firmy Altera

ZL19PRG. Programator USB dla układów PLD firmy Altera ZL19PRG Programator USB dla układów PLD firmy Altera Nowoczesny programator i konfigurator układów PLD produkowanych przez firmę Altera, w pełni zgodny ze standardem USB Blaster, dzięki czemu współpracuje

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy Załącznik nr 6 do SIWZ Szczegółowy opis przedmiotu zamówienia Ilość: 3 sztuki (kpl.) CPV 38434000-6 analizatory Część 1 - Laboratoryjny zestaw prototypowy Parametry urządzenia: Zintegrowany oscyloskop:

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC ZL28ARM Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC Zestaw ZL28ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów AT91SAM7XC. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EIT s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EIT s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy elektroniki cyfrowej Rok akademicki: 2030/2031 Kod: EIT-1-304-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Informatyka Specjalność:

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia(a).

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32Butterfly2 Zestaw STM32Butterfly2 jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Nazwa modułu: Technika mikroprocesorowa Rok akademicki: 2013/2014 Kod: EEL-1-616-s Punkty ECTS: 2 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika Specjalność:

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx ZL6PLD Zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx 1 ZL6PLD jest zestawem uruchomieniowym dla układów FPGA z rodziny Spartan 3 firmy Xilinx. Oprócz układu PLD o dużych zasobach

Bardziej szczegółowo

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów...

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów... Spis treści 3 1. Podstawowe wiadomości...9 1.1. Sterowniki podstawowe wiadomości...10 1.2. Do czego służy LOGO!?...12 1.3. Czym wyróżnia się LOGO!?...12 1.4. Pierwszy program w 5 minut...13 Oświetlenie

Bardziej szczegółowo

Komputerowe systemy pomiarowe. Dr Zbigniew Kozioł - wykład Mgr Mariusz Woźny - laboratorium

Komputerowe systemy pomiarowe. Dr Zbigniew Kozioł - wykład Mgr Mariusz Woźny - laboratorium Komputerowe systemy pomiarowe Dr Zbigniew Kozioł - wykład Mgr Mariusz Woźny - laboratorium 1 - Cel zajęć - Orientacyjny plan wykładu - Zasady zaliczania przedmiotu - Literatura Klasyfikacja systemów pomiarowych

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe Platforma sprzętowa Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Program wykładu Architektura układów FPGA Rodzina Xilinx Spartan-6

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW VLSI

PROJEKTOWANIE UKŁADÓW VLSI prof. dr hab. inż. Andrzej Kos Tel. 34.35, email: kos@uci.agh.edu.pl Pawilon C3, pokój 505 PROJEKTOWANIE UKŁADÓW VLSI Forma zaliczenia: egzamin Układy VLSI wczoraj i dzisiaj Pierwszy układ scalony -

Bardziej szczegółowo

o Instalacja środowiska programistycznego (18) o Blink (18) o Zasilanie (21) o Złącza zasilania (22) o Wejścia analogowe (22) o Złącza cyfrowe (22)

o Instalacja środowiska programistycznego (18) o Blink (18) o Zasilanie (21) o Złącza zasilania (22) o Wejścia analogowe (22) o Złącza cyfrowe (22) O autorze (9) Podziękowania (10) Wstęp (11) Pobieranie przykładów (12) Czego będę potrzebował? (12) Korzystanie z tej książki (12) Rozdział 1. Programowanie Arduino (15) Czym jest Arduino (15) Instalacja

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl Systemy wbudowane Paweł Pełczyński ppelczynski@swspiz.pl 1 Program przedmiotu Wprowadzenie definicja, zastosowania, projektowanie systemów wbudowanych Mikrokontrolery AVR Programowanie mikrokontrolerów

Bardziej szczegółowo

ZL9AVR. Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019)

ZL9AVR. Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019) ZL9AVR Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019) ZL9AVR to płyta bazowa umożliwiająca wykonywanie różnorodnych eksperymentów związanych z zastosowaniem mikrokontrolerów AVR w aplikacjach

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC Wykład 2 Przegląd mikrokontrolerów 8-bit: -AVR -PIC Mikrokontrolery AVR Mikrokontrolery AVR ATTiny Główne cechy Procesory RISC mało instrukcji, duża częstotliwość zegara Procesory 8-bitowe o uproszczonej

Bardziej szczegółowo

Charakterystyka mikrokontrolerów. Przygotowali: Łukasz Glapiński, Mateusz Kocur, Adam Kokot,

Charakterystyka mikrokontrolerów. Przygotowali: Łukasz Glapiński, Mateusz Kocur, Adam Kokot, Charakterystyka mikrokontrolerów Przygotowali: Łukasz Glapiński, 171021 Mateusz Kocur, 171044 Adam Kokot, 171075 Plan prezentacji Co to jest mikrokontroler? Historia Budowa mikrokontrolera Wykorzystywane

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO to płytka rozwojowa o funkcjonalności i wymiarach typowych dla Arduino UNO. Dzięki wbudowanemu mikrokontrolerowi ATmega328P i

Bardziej szczegółowo

1.2. Architektura rdzenia ARM Cortex-M3...16

1.2. Architektura rdzenia ARM Cortex-M3...16 Od Autora... 10 1. Wprowadzenie... 11 1.1. Wstęp...12 1.1.1. Mikrokontrolery rodziny ARM... 14 1.2. Architektura rdzenia ARM Cortex-M3...16 1.2.1. Najważniejsze cechy architektury Cortex-M3... 16 1.2.2.

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia_pamięci(a).

Bardziej szczegółowo

Rok akademicki: 2016/2017 Kod: EAR s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2016/2017 Kod: EAR s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Technika mikroprocesorowa Rok akademicki: 2016/2017 Kod: EAR-1-496-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Automatyka i Robotyka

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Układy programowalne

Układy programowalne Układy programowalne SPLD, CPLD, FPGA Podział układów programowalnych Procesory strukturalne Procesor Procesory proceduralne ASIC/ASSP PLD mikroprocesor mikrokontroler SPLD CPLD FPGA PROM, PLE, PLA, PAL,

Bardziej szczegółowo

2. PRZERZUTNIKI I REJESTRY

2. PRZERZUTNIKI I REJESTRY Technika cyfrowa i mikroprocesorowa w ćwiczeniach laboratoryjnych : praca zbiorowa / pod redakcją Jerzego Jakubca ; autorzy Ryszard Bogacz, Jerzy Roj, Janusz Tokarski. Wyd. 3. Gliwice, 2016 Spis treści

Bardziej szczegółowo

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX Zestaw DSP60EX Karta DSP60EX współpracuje z sterownikiem DSP60 i stanowi jego rozszerzenie o interfejs we/wy cyfrowy, analogowy oraz użytkownika. Karta z zamontowanym sterownikiem pozwala na wykorzystanie

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega32 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

System mikroprocesorowy i peryferia. Dariusz Chaberski

System mikroprocesorowy i peryferia. Dariusz Chaberski System mikroprocesorowy i peryferia Dariusz Chaberski System mikroprocesorowy mikroprocesor pamięć kontroler przerwań układy wejścia wyjścia kontroler DMA 2 Pamięć rodzaje (podział ze względu na sposób

Bardziej szczegółowo

dr hab. Joanna Jędrzejowicz Podstawy informatyki i komputeryzacji Gdańska Wyższa Szkoła Humanistyczna

dr hab. Joanna Jędrzejowicz Podstawy informatyki i komputeryzacji Gdańska Wyższa Szkoła Humanistyczna dr hab. Joanna Jędrzejowicz Podstawy informatyki i komputeryzacji Gdańska Wyższa Szkoła Humanistyczna Literatura B. Siemieniecki, W. Lewandowski Internet w szkole, Wyd. A. Marszałek 2001, B. Siemieniecki

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Arduino dla początkujących. Kolejny krok Autor: Simon Monk. Spis treści

Arduino dla początkujących. Kolejny krok Autor: Simon Monk. Spis treści Arduino dla początkujących. Kolejny krok Autor: Simon Monk Spis treści O autorze Podziękowania Wstęp o Pobieranie przykładów o Czego będę potrzebował? o Korzystanie z tej książki Rozdział 1. Programowanie

Bardziej szczegółowo

KAmduino UNO. Rev Źródło:

KAmduino UNO. Rev Źródło: KAmduino UNO Rev. 20170811113756 Źródło: http://wiki.kamami.pl/index.php?title=kamduino_uno Spis treści Podstawowe cechy i parametry... 2 Wyposażenie standardowe... 3 Schemat elektryczny... 4 Mikrokontroler

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

ZL2AVR. Zestaw uruchomieniowy z mikrokontrolerem ATmega8

ZL2AVR. Zestaw uruchomieniowy z mikrokontrolerem ATmega8 ZL2AVR Zestaw uruchomieniowy z mikrokontrolerem ATmega8 ZL2AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega8 (oraz innych w obudowie 28-wyprowadzeniowej). Dzięki wyposażeniu w

Bardziej szczegółowo

ZL5PIC. Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887

ZL5PIC. Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887 ZL5PIC Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887 ZL5PIC jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów PIC16F887 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

KARTA PRZEDMIOTU. Nr Opis efektu kształcenia Metoda sprawdzenia efektu kształcenia. Forma prowadzenia zajęć

KARTA PRZEDMIOTU. Nr Opis efektu kształcenia Metoda sprawdzenia efektu kształcenia. Forma prowadzenia zajęć Z1-PU7 WYDANIE N1 Strona 1 z 1 (pieczęć wydziału) KARTA PRZEDMIOTU 1. Nazwa przedmiotu: PROJEKTOWANIE URZĄDZEŃ CYFROWYCH I i II 2. Kod przedmiotu: PUC 3. Karta przedmiotu ważna od roku akademickiego: 2012/2013

Bardziej szczegółowo

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Politechnika Śląska w Gliwicach Wydział Automatyki Elektroniki i Informatyki Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Promotor dr inż. Jacek Loska Wojciech Klimeczko

Bardziej szczegółowo

ZL25ARM. Płyta bazowa dla modułów diparm z mikrokontrolerami STR912. [rdzeń ARM966E-S]

ZL25ARM. Płyta bazowa dla modułów diparm z mikrokontrolerami STR912. [rdzeń ARM966E-S] ZL25ARM Płyta bazowa dla modułów diparm z mikrokontrolerami STR912 [rdzeń ARM966E-S] ZL25ARM to płyta bazowa umożliwiająca wykonywanie różnorodnych eksperymentów z mikrokontrolerami STR912 (ARM966E-S).

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701.

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy. SigmaDSP jest niedrogim zestawem uruchomieniowym dla procesora DSP ADAU1701 z rodziny SigmaDSP firmy Analog Devices, który wraz z programatorem USBi i darmowym środowiskiem

Bardziej szczegółowo

AiR_UCiM_3/5 Układy Cyfrowe i Mikroprocesorowe Digital Circuits and Microprocessors

AiR_UCiM_3/5 Układy Cyfrowe i Mikroprocesorowe Digital Circuits and Microprocessors Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2013/2014

Bardziej szczegółowo

Systemy uruchomieniowe

Systemy uruchomieniowe Systemy uruchomieniowe Przemysław ZAKRZEWSKI Systemy uruchomieniowe (1) 1 Środki wspomagające uruchamianie systemów mikroprocesorowych Symulator mikroprocesora Analizator stanów logicznych Systemy uruchomieniowe:

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Wykład Mikrokontrolery i mikrosystemy Cele wykładu:

Wykład Mikrokontrolery i mikrosystemy Cele wykładu: Wykład Mikrokontrolery i mikrosystemy Cele wykładu: Poznanie podstaw budowy, zasad działania i sterowania mikrokontrolerów i ich urządzeń peryferyjnych. Niezbędna wiedza do dalszego samokształcenia się

Bardziej szczegółowo

AVREVB1. Zestaw uruchomieniowy dla mikrokontrolerów AVR. Zestawy uruchomieniowe www.evboards.eu

AVREVB1. Zestaw uruchomieniowy dla mikrokontrolerów AVR. Zestawy uruchomieniowe www.evboards.eu AVREVB1 Zestaw uruchomieniowy dla mikrokontrolerów AVR. 1 Zestaw AVREVB1 umożliwia szybkie zapoznanie się z bardzo popularną rodziną mikrokontrolerów AVR w obudowach 40-to wyprowadzeniowych DIP (układy

Bardziej szczegółowo

ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami mikrokontrolerów PIC. Jest on przystosowany do współpracy z mikrokontrolerami

Bardziej szczegółowo

Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA. Autor: Daniel Słowik

Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA. Autor: Daniel Słowik Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA Autor: Daniel Słowik Promotor: Dr inż. Daniel Kopiec Wrocław 016 Plan prezentacji Założenia i cel

Bardziej szczegółowo

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć Systemy Wbudowane Kod przedmiotu: SW Rodzaj przedmiotu: kierunkowy ; obowiązkowy Wydział: Informatyki Kierunek: Informatyka Specjalność (specjalizacja): - Poziom studiów: pierwszego stopnia Profil studiów:

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Wykład 4 Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Mikrokontrolery PIC Mikrokontrolery PIC24 Mikrokontrolery PIC24 Rodzina 16-bitowych kontrolerów RISC Podział na dwie podrodziny: PIC24F

Bardziej szczegółowo

ZL8AVR. Płyta bazowa dla modułów dipavr

ZL8AVR. Płyta bazowa dla modułów dipavr ZL8AVR Płyta bazowa dla modułów dipavr Zestaw ZL8AVR to płyta bazowa dla modułów dipavr (np. ZL7AVR z mikrokontrolerem ATmega128 lub ZL12AVR z mikrokontrolerem ATmega16. Wyposażono ją w wiele klasycznych

Bardziej szczegółowo

Wykład Mikroprocesory i kontrolery

Wykład Mikroprocesory i kontrolery Wykład Mikroprocesory i kontrolery Cele wykładu: Poznanie podstaw budowy, zasad działania mikroprocesorów i układów z nimi współpracujących. Podstawowa wiedza potrzebna do dalszego kształcenia się w technice

Bardziej szczegółowo

Struktura i funkcjonowanie komputera pamięć komputerowa, hierarchia pamięci pamięć podręczna. System operacyjny. Zarządzanie procesami

Struktura i funkcjonowanie komputera pamięć komputerowa, hierarchia pamięci pamięć podręczna. System operacyjny. Zarządzanie procesami Rok akademicki 2015/2016, Wykład nr 6 2/21 Plan wykładu nr 6 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2015/2016

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

Systemy wbudowane Mikrokontrolery

Systemy wbudowane Mikrokontrolery Systemy wbudowane Mikrokontrolery Budowa i cechy mikrokontrolerów Architektura mikrokontrolerów rodziny AVR 1 Czym jest mikrokontroler? Mikrokontroler jest systemem komputerowym implementowanym w pojedynczym

Bardziej szczegółowo

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 1. Cel ćwiczenia Celem ćwiczenia jest pokazanie budowy systemów opartych na układach Arduino. W tej części nauczymy się podłączać różne czujników,

Bardziej szczegółowo

MIKROELEKTRONIKA [gr.], dział. elektroniki zajmujący się działaniem, konstrukcją Fifth i technologią Level układów scalonych.

MIKROELEKTRONIKA [gr.], dział. elektroniki zajmujący się działaniem, konstrukcją Fifth i technologią Level układów scalonych. Click Co to to jest edit mikroelektronika Master title style Click to edit Master text styles Second Level MIKROELEKTRONIKA [gr.], dział Third Level elektroniki zajmujący się działaniem, Fourth Level konstrukcją

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

ZL29ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

ZL29ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 ZL29ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw ZL29ARM jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity Line (STM32F107).

Bardziej szczegółowo

DOKUMENTACJA PROJEKTU

DOKUMENTACJA PROJEKTU Warszawa, dn. 16.12.2015r. Student: Artur Tynecki (E.EIM) atynecki@stud.elka.pw.edu.pl Prowadzący: dr inż. Mariusz Jarosław Suchenek DOKUMENTACJA PROJEKTU Projekt wykonany w ramach przedmiotu Mikrokontrolery

Bardziej szczegółowo

ZL27ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103

ZL27ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 ZL27ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 Zestaw ZL27ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów STM32F103. Dzięki wyposażeniu w szeroką gamę zaawansowanych układów

Bardziej szczegółowo

ZL30ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103

ZL30ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 ZL30ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 Zestaw ZL30ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów STM32F103. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM PROJEKTOWANIA ZINTEGROWANEGO

PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM PROJEKTOWANIA ZINTEGROWANEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM

Bardziej szczegółowo