ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx

Wielkość: px
Rozpocząć pokaz od strony:

Download "ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx"

Transkrypt

1 ZL6PLD Zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx 1 ZL6PLD jest zestawem uruchomieniowym dla układów FPGA z rodziny Spartan 3 firmy Xilinx. Oprócz układu PLD o dużych zasobach logicznych, zestaw wyposażono w peryferia stosowane w typowych syste- mach mikroprocesorowych. Schemat blokowy zestawu ZL6PLD pokazano na rys. 1. Zastosowany w zestawie układ FPGA z rodziny Spartan 3 XC3S200 zawiera zasoby logiczne odpowiadające bramek logicznych (4320 komórek logicznych), 216 kb konfigurowalnej pamięci SRAM, 12 bloków sprzętowego mnożenia oraz 4 bloki DCM. Zasoby logiczne układu XC3S200 oraz zastosowane w zestawie ZL6PLD elementy peryferyjne umożliwiają prowadzenie nawet bardzo zaawansowanych eksperymentów, a także realizację wstępnych wersji projektów. Rys. 1. Schemat blokowy zestawu ZL6PLD i Do współpracy z zestawem ZL6PLD jest zalecany uniwersalny programator-konfigurator ZL11PRG. Informacje na jego temat są dostępne m.in. pod adresem: Podstawowe cechy i parametry zestawu: zastosowany układ XC3S200 w obudowie VQFP100, wbudowany konfigurator z pamięcią Flash XCF01S, gniazdo do dołączenia alfanumerycznego wyświetlacza LCD o organizacji 2x16 znaków, 4-cyfrowy, multipleksowany wyświetlacz LED, 8 diod LED, 4 przyciski do dowolnych zastosowań, przycisk wymuszający inicjalizację FPGA (odczyt konfiguracji z pamięci Flash), generator kwarcowy 3,6864 MHz w podstawce, generator RC o regulowanej częstotliwości, głośnik piezoelektryczny, interfejs RS232 z konwerterem napięciowym, złącze interfejsu USB (ZL1USB), złącze interfejsu JTAG (konfiguracja FPGA, programowanie konfiguratora), możliwość zasilania z USB lub niestabilizowanego zasilacza zewnętrznego VDC, wbudowane stabilizatory napięć zasilających (1,2/2,5/3,3 oraz 5 V).

2 2! Zasoby logiczne układu XC3S200 umożliwiają wygodne implementowanie 8-bitowego rdzenia mikrokontrolera RISC PicoBlaze. Jest on dostępny (w wersjach VHDL i Verilog) bezpłatnie na stronie WWW firmy Xilinx, wersję preimplementowaną (w wersji VHDL) w zestawie ZL6PLD umieszczono na płycie dołączonej do zestawu. Konfigurowanie FPGA Konfigurowanie układu FPGA jest możliwe na dwa sposoby: za pomocą programatora JTAG (np. ZL11PRG, ZL11PRG-M modyfikować można bezpośrednio zawartość pamięci konfiguracji układu FPGA), z wykorzystaniem zewnętrznego konfiguratora Flash, który zapewnia automatyczny restart systemu po każdym włączeniu zasilania. Restart można wymusić ręcznie za pomocą przycisku S5 jego każdorazowe naciśnięcie powoduje skopiowanie zawartości pamięci konfiguratora do pamięci konfigurującej SRAM układu FPGA. Tryb konfigurowania (jeden z dwóch, pozostałe oferowane przez rodzinę Spartan 3 nie są wykorzystywane) jest wybierany za pomocą jumpera JP2 (tab. 1). Konfigurator oraz układ FPGA są połączone w łańcuch JTAG, którego schemat logiczny pokazano na rys. 2. Schemat elektryczny zestawu przedstawiono na rys. 3. Tab. 1. Wybór sposobu konfigurowania układu FPGA (JP2 - CNF) Zwarte styki Oznaczenie położenia jumpera Tryb konfiguracji Opis 1-2 JTAG JTAG Możliwa konfiguracja FPGA via JTAG 2-3 Flash Master serial Automatyczna konfiguracja FPGA po włączeniu zasilania Tab. 2. Liczba układów w łańcuchu JTAG (JP8 - JTAG#) Zwarte styki Oznaczenie położenia jumpera Opis Położenie dopuszczalne w przypadku braku na PCB konfiguratora U Domyślne położenie jumpera łańcuch JTAG składa się z dwóch układów (jak na rys. 2) Rys. 2. Konfiguracja łańcucha JTAG w zestawie Interfejs USB Na płytce zestawu przewidziano miejsce do montażu modułu dwukierunkowego interfejsu USB2RS232 (ZL1USB) JP4. W tab. 3 pokazano przypisanie sygnałów interfejsu do wyprowadzeń układu FPGA. Należy pamiętać, że sygnały przesyłane liniami TX_C i RX_C są negowane!! Sygnały przesyłane liniami TX_C i RX_C są zanegowane! Tab. 3. Przypisanie sygnałów interfejsu USB do wyprowadzeń układu FPGA Sygnał interfejsu USB Numer wyprowadzenia FPGA Linia Bank RX_C 74 IO_L01P_2/VRP_2 2 TX_C 96 IO_L01N_0/VRP_0 0

3 Rys. 3. Schemat elektryczny ZL6PLD 3

4 4 Interfejs RS232 Na płytce zestawu są zamontowane elementy dwukierunkowego interfejsu RS232 (z gniazdem). W tab. 4 pokazano przypisanie sygnałów interfejsu do wyprowadzeń układu FPGA. Tab. 4. Przypisanie sygnałów interfejsu RS232 do wyprowadzeń układu FPGA Sygnał interfejsu RS232 Numer wyprowadzenia FPGA Linia Bank RX_A 75 IO_L01N_2/VRP_2 2 TX_A 88 IO_L32N_1/GCLK4 1 Wyświetlacz LCD Złącze JP6 służy do zamontowania typowego wyświetlacza alfanumerycznego o organizacji 2x16 znaków z wbudowanym sterownikiem HD Sterownik wyświetlacza może być sterowany w trybie interfejsu 4- lub 8-bitowego, przy czym nie jest możliwy odczyt zawartości pamięci CG-RAM, ze względu na zwarcie do masy zasilania sygnału R/W. Sygnały sterujące pracą kontrolera modułu LCD są buforowane za pomocą układów z rodziny LVC, co wynika z faktu, że linie I/O układów z rodziny Spartan 3 nie są przystosowane do bezpośredniej współpracy z układami zasilanymi napięciem 5 V. Sposób dołączenia poszczególnych linii modułu wyświetlacza do układu FPGA przedstawiono w tab. 5.! Sygnały sterujące ENA i RS są zanegowane! Tab. 5. Przypisanie sygnałów interfejsu modułu LCD do wyprowadzeń układu FPGA Sygnał interfejsu wyświetlacza Polaryzacja Numer wyprowadzenia FPGA Linia Bank RS_LCD_5V 0 16 IO_L24N_6/VREF_6 6 E_LCD_5V 0 13 IO_L40N_7/VREF_7 6 D0_LCD_5V 1 2 IO_L01N_7/VRP_7 7 D1_LCD_5V 1 1 IO_L01P_7/VRP_7 7 D2_LCD_5V 1 5 IO_L21N_7 7 D3_LCD_5V 1 4 IO_L21P_7 7 D4_LCD_5V 1 9 IO_L23N_7 7 D5_LCD_5V 1 8 IO_L23P_7 7 D6_LCD_5V 1 11 IO_L40P_7 7 D7_LCD_5V 1 12 IO_L40N_7/VREF_7 7 Multipleksowany wyświetlacz LED Standardowym wyposażeniem zestawu ZL6PLD jest 4-cyfrowy wyświetlacz LED, pracujący w układzie sterowania multipleksowanego. Zastosowano wyświetlacze o wspólnych katodach, dzięki czemu segmenty są zapalane stanem logicznym 1. Zestawienie sygnałów sterujących pracą wyświetlaczy pokazano w tab. 6. Na rys. 4 pokazano przypisanie nazw segmentom wyświetlacza LED. Rys. 4. Przypisanie nazw segmentom wyświetlacza

5 5 Tab. 6. Przypisanie sygnałów sterujących wyświetlaczami LED do wyprowadzeń układu FPGA Sygnał sterujący wyświetlacze LED Numer wyprowadzenia FPGA Linia Bank Opis A 87 IO_L32P_1/GCLK4 1 Segment A wyświetlaczy LED (świeci, gdy linia sterująca = 1 ) B 97 IO_L01N_0/VRP_0 0 Segment B wyświetlaczy LED świeci, gdy linia sterująca = 1 ) C 86 IO_L31N_1/VREF_1 1 Segment C wyświetlaczy LED (świeci, gdy linia sterująca = 1 ) D 80 IO_L01N_1/VRP_1 1 Segment D wyświetlaczy LED (świeci, gdy linia sterująca = 1 ) E 79 IO_L01P_1/VRN_1 1 Segment E wyświetlaczy LED (świeci, gdy linia sterująca = 1 ) F 85 IO_L31P_1 1 Segment F wyświetlaczy LED (świeci, gdy linia sterująca = 1 ) G 81 IO 1 Segment Gwyświetlaczy LED (świeci, gdy linia sterująca = 1 ) DP 63 IO_L40N_3/VREF_3 3 Segment DP wyświetlaczy LED (świeci, gdy linia sterująca = 1 ) KATH3 91 IO_L31P_0/VREF_0 0 Linia sterowania wzmacniacza (z inwersją) wspólnej katody wyświetlacza o najwyższej wadze pozycji. Segmenty wyświetlacza mogą świecić, gdy linia = 1 KATH2 92 IO_L31N_0 0 Linia sterowania wzmacniacza (z inwersją) wspólnej katody wyświetlacza. Segmenty wyświetlacza mogą świecić, gdy linia = 1 KATH1 71 IO_L21P_2 2 Linia sterowania wzmacniacza (z inwersją) wspólnej katody wyświetlacza. Segmenty wyświetlacza mogą świecić, gdy linia = 1 KATH0 89 IO_L32P_0/GCLK6 0 Linia sterowania wzmacniacza (z inwersją) wspólnej katody wyświetlacza o najmniejszej wadze pozycji. Segmenty wyświetlacza mogą świecić, gdy linia = 1 Diody LED Diody LED dołączono do układu FPGA w taki sposób, że świecą po podaniu logicznej 1 na wyjście sterujące. Zestawienie sygnałów sterujących diody LED pokazano w tab. 7. Tab. 7. Przypisanie sygnałów sterujących LED-ami do wyprowadzeń układu FPGA Sygnał sterujący diody LED Numer wyprowadzenia FPGA Linia Bank D1 37 IO_L32N_5/GCLK3 5 D2 36 IO_L32P_5/GCLK2 5 D3 35 IO_L31N_5/D4 5 D4 34 IO_L31P_5/D5 5 D5 32 IO_L28N_5/D6 5 D6 30 IO_L28P_5/D7 5 D7 28 IO_L01N_5/RDWR_B 5 D8 27 IO_L01P_5/CS_B 5 Sygnały zegarowe Zestaw ZL6PLD wyposażono w dwa źródła sygnałów zegarowych: generator kwarcowy o częstotliwości impulsów wyjściowych 3,6864 MHz (jest on montowany w podstawce DIP14, dzięki czemu można go zastąpić innym generatorem zasilanym napięciem 5 V), generator RC (wykonany na timerze 555) o regulowanej, za pomocą potencjometru, częstotliwości impulsów wyjściowych ( Hz). Generator kwarcowy dołączono do wejścia globalnego sygnału zegarowego GCLK0, generator RC do wejścia GCLK7 (tab. 8). Tab. 8. Przypisanie sygnałów zegarowych do wejść globalnych sygnałów zegarowych układu FPGA Nazwa sygnału zegarowego Numer wyprowadzenia FPGA Linia zegarowa CLK1 38 GCLK0 CLK2 90 GCLK7 Głośnik Głośnik piezoceramiczny dołączono, za pośrednictwem jumpera JP7, do wyprowadzenia FPGA o numerze 68 (linia IO_L24N_2, bank 2). Możliwe konfiguracje JP7 opisano w tab. 9.

6 6 Tab. 9. Możliwe konfiguracje JP7 (SPK) Zwarte styki Oznaczenie położenia jumpera Opis 1-2 On Dołącza głośnik piezoceramiczny do wyprowadzenia 68 FPGA 2-3 Off Głośnik odłączony Klawiatura Styki przycisków tworzących klawiaturę włączono pomiędzy masę zasilania i rezystory podciągające linie I/O do napięcia +3,3 V. Przyporządkowanie ich liniom I/O układu FPGA przedstawiono w tab. 10. Tab. 10. Przypisanie przycisków klawiatury wyprowadzeniom układu FPGA Przycisk Numer wyprowadzenia FPGA Linia Bank S1 50 IO_L01N_4/VRP_4 4 S2 54 IO 3 S3 62 IO_L40P_3 3 S4 60 IO_L24P_3 3 Zasilanie Zestaw ZL6PLD może być zasilany z interfejsu USB (napięcie +5V podawane na gniazdo USB-B Zl1) lub za pomocą zewnętrznego zasilacza sieciowego (dołączonego do JP9) o napięciu wyjściowym VDC. Polaryzacja napięcia na JP9 nie jest istotna, bowiem na wejściu stabilizatora zastosowano mostek Graetza (M1). Do wyboru źródła zasilania służy jumper JP10 (tab. 11). Tab. 11. Wybór źródła zasilania (JP10 - PWR_SEL) Zwarte styki Oznaczenie położenia jumpera Opis 1-2 EXT Zasilanie zewnętrzne z zasilacza sieciowego JP9 2-3 USB Zasilanie z USB Zl1 Uniwersalne linie I/O Wyprowadzenia I/O układu FPGA dołączono do trzech, dwurzędowych złącz szplikowych: JP3, JP5 i JP11. Przypisanie sygnałów do poszczególnych styków pokazano na rys. 5. Linie I/O nie wykorzystane w zestawie do dołączenia któregoś z elementów peryferyjnych, noszące oznaczenia: UNIV_IO11...UNIV_IO18 wyposażono w dołączane, jednokierunkowe bufory TTL-LVC, które są przystosowane do współpracy z układami cyfrowymi zasilanymi napięciem 5 V (podczas gdy same są zasilane napięciem 3,3 V). Bufory zawarte w układzie U4 można wykorzystać jako wejściowe lub wyjściowe, ale wyłącznie jako jednokierunkowe. Indywidualne dołączenie buforów do wejść FPGA umożliwiają przełączniki DIP-switcha DSw1 zgodnie ze schematem z rys. 6. Rys. 5. Rozmieszczenie sygnałów na złączach JP3, JP5 i JP11

7 7 Rys. 6. Konfiguracja buforów LVC Rys. 7. Schemat montażowy ZL6PLD

8 8 Rys. 8. Rozmieszczenie sygnałów w układzie XC3S200 w obudowie VQFP100 W skład zestawu ZL6PLD wchodzi: zmontowana płyta bazowa, z układem XC3S200 oraz konfiguratorem XCF01S, płyta CD z dokumentacjami podzespołów, przykładowym projektem, rdzeniem procesora PicoBlaze w VHDL. ZL6PLD 1.0 BTC Korporacja Legionowo ul. Lwowska 5 tel.: (022) faks: (022) biuro@kamami.pl Zastrzegamy prawo do wprowadzania zmian bez uprzedzenia. Oferowane przez nas płytki drukowane zestawu ZL6PLD mogą się różnić od prezentowanej w dokumentacji, przy czym zmianom nie ulegają jej właściwości użytkowe. BTC Korporacja gwarantuje zgodność produktu ze specyfikacją. BTC Korporacja nie ponosi odpowiedzialności za jakiekolwiek szkody powstałe bezpośrednio lub pośrednio w wyniku użycia lub nieprawidłowego działania produktu. BTC Korporacja zastrzega sobie prawo do modyfikacji niniejszej dokumentacji bez uprzedzenia.

ZL8AVR. Płyta bazowa dla modułów dipavr

ZL8AVR. Płyta bazowa dla modułów dipavr ZL8AVR Płyta bazowa dla modułów dipavr Zestaw ZL8AVR to płyta bazowa dla modułów dipavr (np. ZL7AVR z mikrokontrolerem ATmega128 lub ZL12AVR z mikrokontrolerem ATmega16. Wyposażono ją w wiele klasycznych

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

ZL9AVR. Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019)

ZL9AVR. Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019) ZL9AVR Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019) ZL9AVR to płyta bazowa umożliwiająca wykonywanie różnorodnych eksperymentów związanych z zastosowaniem mikrokontrolerów AVR w aplikacjach

Bardziej szczegółowo

LITEcomp. Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19

LITEcomp. Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19 LITEcomp Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19 Moduł LITEcomp to miniaturowy komputer wykonany na bazie mikrokontrolera z rodziny ST7FLITE1x. Wyposażono go w podstawowe peryferia, dzięki

Bardziej szczegółowo

ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x

ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x ZL9ARM Płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x 1 ZL9ARM to uniwersalna płyta bazowa dla modułów diparm

Bardziej szczegółowo

ZL25ARM. Płyta bazowa dla modułów diparm z mikrokontrolerami STR912. [rdzeń ARM966E-S]

ZL25ARM. Płyta bazowa dla modułów diparm z mikrokontrolerami STR912. [rdzeń ARM966E-S] ZL25ARM Płyta bazowa dla modułów diparm z mikrokontrolerami STR912 [rdzeń ARM966E-S] ZL25ARM to płyta bazowa umożliwiająca wykonywanie różnorodnych eksperymentów z mikrokontrolerami STR912 (ARM966E-S).

Bardziej szczegółowo

ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami mikrokontrolerów PIC. Jest on przystosowany do współpracy z mikrokontrolerami

Bardziej szczegółowo

Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów AVR

Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów AVR Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów AVR ZL10AVR Zestaw ZL10AVR umożliwia wszechstronne przetestowanie aplikacji wykonanych z wykorzystaniem mikrokontrolerów z rodziny AVR (ATtiny, ATmega,

Bardziej szczegółowo

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32Butterfly2 Zestaw STM32Butterfly2 jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC ZL28ARM Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC Zestaw ZL28ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów AT91SAM7XC. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S)

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) 1 Zestaw ZL2ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się z mikrokontrolerami z rdzeniem ARM7TDMI-S.

Bardziej szczegółowo

ZL2AVR. Zestaw uruchomieniowy z mikrokontrolerem ATmega8

ZL2AVR. Zestaw uruchomieniowy z mikrokontrolerem ATmega8 ZL2AVR Zestaw uruchomieniowy z mikrokontrolerem ATmega8 ZL2AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega8 (oraz innych w obudowie 28-wyprowadzeniowej). Dzięki wyposażeniu w

Bardziej szczegółowo

ZL11ARM. Uniwersalna płytka bazowa dla modułów diparm

ZL11ARM. Uniwersalna płytka bazowa dla modułów diparm ZL11ARM Uniwersalna płytka bazowa dla modułów diparm ZL11ARM to uniwersalna płyta bazowa dla modułów diparm (np. ZL12ARM i ZL19ARM) z mikrokontrolerami wyposażonymi w rdzenie ARM produkowanymi przez różnych

Bardziej szczegółowo

ZL27ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103

ZL27ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 ZL27ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 Zestaw ZL27ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów STM32F103. Dzięki wyposażeniu w szeroką gamę zaawansowanych układów

Bardziej szczegółowo

Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100, które można zastosować w zestawie ZL3ARM.

Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100, które można zastosować w zestawie ZL3ARM. ZL3ARM płytka bazowa dla modułu diparm_2106 (ZL4ARM) ZL3ARM Płytka bazowa dla modułu diparm_2106 Płytkę bazową ZL3ARM opracowano z myślą o elektronikach chcących szybko poznać mozliwości mikrokontrolerów

Bardziej szczegółowo

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega32 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

STM32 Butterfly. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32 Butterfly. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32 Butterfly Zestaw STM32 Butterfly jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP

Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP ZL32ARM ZL32ARM z mikrokontrolerem LPC1114 (rdzeń Cotrex-M0) dzięki wbudowanemu programatorowi jest kompletnym zestawem uruchomieniowym.

Bardziej szczegółowo

LITEcompLPC1114. Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Sponsorzy:

LITEcompLPC1114. Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Sponsorzy: LITEcompLPC1114 Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Bezpłatny zestaw dla Czytelników książki Mikrokontrolery LPC1100. Pierwsze kroki LITEcompLPC1114 jest doskonałą platformą mikrokontrolerową

Bardziej szczegółowo

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S)

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) 1 Zestaw ZL2ARM opracowano z myślą

Bardziej szczegółowo

ZL29ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

ZL29ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 ZL29ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw ZL29ARM jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity Line (STM32F107).

Bardziej szczegółowo

ZL11AVR. Zestaw uruchomieniowy z mikrokontrolerem ATtiny2313

ZL11AVR. Zestaw uruchomieniowy z mikrokontrolerem ATtiny2313 ZL11AVR Zestaw uruchomieniowy z mikrokontrolerem ATtiny2313 Zestaw przeznaczony do budowania prostych aplikacji z mikrokontrolerem ATtiny2313 (w podstawkę można również zamontować AT90S1200 lub AT90S2313).

Bardziej szczegółowo

ZL5PIC. Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887

ZL5PIC. Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887 ZL5PIC Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887 ZL5PIC jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów PIC16F887 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

ADuCino 360. Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361

ADuCino 360. Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361 Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361 ADuCino 360 Zestaw ADuCino jest tanim zestawem uruchomieniowym dla mikrokontrolerów ADuCM360 i ADuCM361 firmy Analog Devices mechanicznie kompatybilnym

Bardziej szczegółowo

ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x. Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC213x

ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x. Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC213x ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x (rdzeń ARM7TMDI-S) Kompatybilny z zestawem MCB2130 firmy Keil! Zestaw ZL6ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się

Bardziej szczegółowo

ZL19PRG. Programator USB dla układów PLD firmy Altera

ZL19PRG. Programator USB dla układów PLD firmy Altera ZL19PRG Programator USB dla układów PLD firmy Altera Nowoczesny programator i konfigurator układów PLD produkowanych przez firmę Altera, w pełni zgodny ze standardem USB Blaster, dzięki czemu współpracuje

Bardziej szczegółowo

Programator ZL2PRG jest uniwersalnym programatorem ISP dla mikrokontrolerów, o budowie zbliżonej do STK200/300 (produkowany przez firmę Kanda).

Programator ZL2PRG jest uniwersalnym programatorem ISP dla mikrokontrolerów, o budowie zbliżonej do STK200/300 (produkowany przez firmę Kanda). ZL2PRG Programator ISP dla mikrokontrolerów AVR firmy Atmel Programator ZL2PRG jest uniwersalnym programatorem ISP dla mikrokontrolerów, o budowie zbliżonej do STK200/300 (produkowany przez firmę Kanda).

Bardziej szczegółowo

ZL16AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega8/48/88/168

ZL16AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega8/48/88/168 ZL16AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega8/48/88/168 ZL16AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerówavr w obudowie 28-wyprowadzeniowej (ATmega8/48/88/168). Dzięki

Bardziej szczegółowo

ZL30ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103

ZL30ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 ZL30ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 Zestaw ZL30ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów STM32F103. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

KA-NUCLEO-F411CE. Płytka rozwojowa z mikrokontrolerem STM32F411CE

KA-NUCLEO-F411CE. Płytka rozwojowa z mikrokontrolerem STM32F411CE Płytka rozwojowa z mikrokontrolerem STM32F411CE to płytka rozwojowa o rozstawie złącz typowym dla Arduino UNO, bazująca na mikrokontrolerze STM32F411CE. Dzięki wbudowanemu programatorowi zgodnemu z ST-Link/v2-1,

Bardziej szczegółowo

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega32 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

ZL2ST7. Zestaw uruchomieniowy dla mikrokontrolerów ST7LITE

ZL2ST7. Zestaw uruchomieniowy dla mikrokontrolerów ST7LITE ZL2ST7 Zestaw uruchomieniowy dla mikrokontrolerów ST7LITE ZL2ST7 to uniwersalny zestaw uruchomieniowy dla szerokiej gamy mikrokontrolerów z rodziny ST7LITE. Zestaw zawiera typowe peryferia stosowane w

Bardziej szczegółowo

KAmodRPiADCDAC. Moduł przetwornika A/C i C/A dla komputerów RaspberryPi i RaspberryPi+

KAmodRPiADCDAC. Moduł przetwornika A/C i C/A dla komputerów RaspberryPi i RaspberryPi+ Moduł przetwornika A/C i C/A dla komputerów RaspberryPi i RaspberryPi+ jest ekspanderem funkcjonalnym dla komputerów RaspberryPi oraz Raspberry Pi+ zapewniającym możliwość konwersji A/C i C/A z rozdzielczością

Bardziej szczegółowo

KA-NUCLEO-UniExp. Wielofunkcyjny ekspander dla NUCLEO i Arduino z Bluetooth, MEMS 3DoF, LED-RGB i czujnikiem temperatury

KA-NUCLEO-UniExp. Wielofunkcyjny ekspander dla NUCLEO i Arduino z Bluetooth, MEMS 3DoF, LED-RGB i czujnikiem temperatury Wielofunkcyjny ekspander dla NUCLEO i Arduino z Bluetooth, MEMS 3DoF, LED-RGB i czujnikiem temperatury jest uniwersalnym ekspanderem dla komputerów NUCLEO oraz Arduino, wyposażonym w analogowy czujnik

Bardziej szczegółowo

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO to płytka rozwojowa o funkcjonalności i wymiarach typowych dla Arduino UNO. Dzięki wbudowanemu mikrokontrolerowi ATmega328P i

Bardziej szczegółowo

FREEboard. Zestaw startowy z mikrokontrolerem z rodziny Freescale KINETIS L (Cortex-M0+) i sensorami MEMS 7 DoF

FREEboard. Zestaw startowy z mikrokontrolerem z rodziny Freescale KINETIS L (Cortex-M0+) i sensorami MEMS 7 DoF FREEboard Zestaw startowy z mikrokontrolerem z rodziny Freescale KINETIS L (Cortex-M0+) i sensorami MEMS 7 DoF FREEboard to bogato wyposażona platforma startowa wyposażona w mikrokontroler z rodziny Freescale

Bardziej szczegółowo

JTAG Isolator. Separator galwaniczny JTAG dla ARM, AVR i FPGA

JTAG Isolator. Separator galwaniczny JTAG dla ARM, AVR i FPGA Separator galwaniczny JTAG dla ARM, AVR i FPGA JTAG Isolator JTAG Isolator jest galwanicznym separatorem interfejsu JTAG, zapobiegającym uszkodzeniom sprzętu wywołanym różnicami potencjałów odniesienia

Bardziej szczegółowo

ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler

ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler ZL11PRG v.2 Uniwersalny programator ISP Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler Nowoczesna konstrukcja czyni z programatora ZL11PRG v.2 urządzenie niezwykle

Bardziej szczegółowo

ZL5ARM. Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Kompatybilność z zestawem MCB2100 firmy Keil

ZL5ARM. Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Kompatybilność z zestawem MCB2100 firmy Keil ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) 1 Zestaw ZL5ARM opracowano z myślą o

Bardziej szczegółowo

KAmduino UNO. Rev Źródło:

KAmduino UNO. Rev Źródło: KAmduino UNO Rev. 20170811113756 Źródło: http://wiki.kamami.pl/index.php?title=kamduino_uno Spis treści Podstawowe cechy i parametry... 2 Wyposażenie standardowe... 3 Schemat elektryczny... 4 Mikrokontroler

Bardziej szczegółowo

Uniwersalny zestaw uruchomieniowy ZL4PIC

Uniwersalny zestaw uruchomieniowy ZL4PIC Uniwersalny zestaw uruchomieniowy ZL4PIC Uniwersalny zestaw uruchomieniowy ZL4PIC przeznaczony jest testowania aplikacji realizowanych na bazie mikrokontrolerów PIC. Jest on przystosowany do współpracy

Bardziej szczegółowo

KA-NUCLEO-Weather. ver. 1.0

KA-NUCLEO-Weather. ver. 1.0 Ekspander funkcjonalny dla NUCLEO i Arduino z zestawem sensorów środowiskowych: ciśnienia, wilgotności, temperatury i natężenia światła oraz 5-pozycyjnym joystickiem i LED RGB jest uniwersalnym ekspanderem

Bardziej szczegółowo

AVREVB1. Zestaw uruchomieniowy dla mikrokontrolerów AVR. Zestawy uruchomieniowe www.evboards.eu

AVREVB1. Zestaw uruchomieniowy dla mikrokontrolerów AVR. Zestawy uruchomieniowe www.evboards.eu AVREVB1 Zestaw uruchomieniowy dla mikrokontrolerów AVR. 1 Zestaw AVREVB1 umożliwia szybkie zapoznanie się z bardzo popularną rodziną mikrokontrolerów AVR w obudowach 40-to wyprowadzeniowych DIP (układy

Bardziej szczegółowo

KA-Nucleo-Weather. Rev Źródło:

KA-Nucleo-Weather. Rev Źródło: KA-Nucleo-Weather Rev. 20170811113639 Źródło: http://wiki.kamami.pl/index.php?title=ka-nucleo-weather Spis treści Podstawowe cechy i parametry... 2 Wyposażenie standardowe... 3 Schemat elektryczny... 4

Bardziej szczegółowo

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu:

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu: Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) MAXimator Zestaw startowy z nowoczesnym układem FPGA z rodziny Altera MAX10, wyposażony w złącze zgodne z Arduino Uno Rev 3, interfejsy wideo HDMI+CEC+DCC

Bardziej szczegółowo

Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8

Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8 Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8 ZL30PRG Nowoczesny programator-debugger z USB obsługujący interfejsy JTAG (mikrokontrolery STM32) i SWIM (mikrokontrolery STM8). W pełni

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

ZESTAWY URUCHOMIENIOWE LABORATORIUM TECHNIKI CYFROWEJ I SYSTEMÓW MIKROPROCESOROWYCH

ZESTAWY URUCHOMIENIOWE LABORATORIUM TECHNIKI CYFROWEJ I SYSTEMÓW MIKROPROCESOROWYCH KARKONOSKA PAŃSTWOWA SZKOŁA WYŻSZA w Jeleniej Górze WYDZIAŁ TECHNICZNY ZESTAWY URUCHOMIENIOWE LABORATORIUM TECHNIKI CYFROWEJ I SYSTEMÓW MIKROPROCESOROWYCH Aleksander Dziuda Waldemar Krupa Jelenia Góra

Bardziej szczegółowo

Układy FPGA w przykładach, część 1

Układy FPGA w przykładach, część 1 Układy FPGA w przykładach, część 1 K U R S Duże układy PLD tanieją w tempie zbliżonym do popularnych mikrokontrolerów, co spowodowało, że układy FPGA o dużych zasobach logicznych nie tylko są już tańsze

Bardziej szczegółowo

ZL17PRG. Programator ICP dla mikrokontrolerów ST7F Flash

ZL17PRG. Programator ICP dla mikrokontrolerów ST7F Flash ZL17PRG Programator ICP dla mikrokontrolerów ST7F Flash Programator ZL17PRG umożliwia programowanie mikrokontrolerów z rodziny ST7 firmy STMicroelectronics. Programator pracuje w oparciu o protokół ICC

Bardziej szczegółowo

ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430

ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430 ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA Mikrokontrolery z rodziny MSP430 słyną z niewielkiego poboru mocy i możliwości

Bardziej szczegółowo

ZL3ST7. Zestaw uruchomieniowy dla mikrokontrolerów

ZL3ST7. Zestaw uruchomieniowy dla mikrokontrolerów ZL3ST7 Zestaw uruchomieniowy dla mikrokontrolerów ST7FLITE3x Zestaw ZL3ST7 jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ST7FLITE3x. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701.

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy. SigmaDSP jest niedrogim zestawem uruchomieniowym dla procesora DSP ADAU1701 z rodziny SigmaDSP firmy Analog Devices, który wraz z programatorem USBi i darmowym środowiskiem

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 24 100_LED8 Moduł zawiera 8 diod LED dołączonych do wejść za pośrednictwem jednego z kilku możliwych typów układów (typowo jest to układ typu 563). Moduł jest wyposażony w dwa złącza

Bardziej szczegółowo

SML3 październik 2008

SML3 październik 2008 25 100_LED8 Moduł zawiera 8 diod LED dołączonych do wejść za pośrednictwem jednego z kilku możliwych typów układów (typowo jest to układ typu 563). Schemat Moduł jest wyposażony w dwa złącza typu port

Bardziej szczegółowo

ZL11ARM. Uniwersalna płyta bazowa

ZL11ARM. Uniwersalna płyta bazowa ZL11ARM Uniwersalna płyta bazowa dla modułów diparm ZL11ARM to uniwersalna płyta bazowa dla modułów diparm (np. ZL12ARM i ZL19ARM) z mikrokontrolerami wyposażonymi w rdzenie ARM produkowanymi przez różnych

Bardziej szczegółowo

KAmodQTR8A. Moduł QTR8A z ośmioma czujnikami odbiciowymi

KAmodQTR8A. Moduł QTR8A z ośmioma czujnikami odbiciowymi Moduł QTR8A z ośmioma czujnikami odbiciowymi to moduł czujnika odbiciowego z ośmioma transoptorami KTIR0711S. Pozwala na wykrycie krawędzi lub linii, zaś dzięki wyjściom analogowym możliwe jest dołączenie

Bardziej szczegółowo

Moduł uruchomieniowy AVR ATMEGA-16 wersja 2

Moduł uruchomieniowy AVR ATMEGA-16 wersja 2 Dane aktualne na dzień: 30-08-2016 20:09 Link do produktu: /modul-uruchomieniowy-avr-atmega-16-wersja-2-p-572.html Moduł uruchomieniowy AVR ATMEGA-16 wersja 2 Cena Cena poprzednia Dostępność 211,00 zł

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

ARMputer, część 1 AVT 922

ARMputer, część 1 AVT 922 P R O J E K T Y ARMputer, część 1 AVT 922 Mikrokontrolery z rdzeniem ARM7TDMI szybko wspinają się na szczyty popularności, czego jedną z najważniejszych przyczyn są ekspresowo malejące ceny tych układów.

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32. Instrukcja Obsługi. SKN Chip Kacper Cyrocki Page 1

Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32. Instrukcja Obsługi. SKN Chip Kacper Cyrocki Page 1 Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32 Instrukcja Obsługi SKN Chip Kacper Cyrocki Page 1 Spis treści Wstęp... 3 Wyposażenie płytki... 4 Zasilanie... 5 Programator... 6 Diody LED...

Bardziej szczegółowo

Płyta uruchomieniowa EBX51

Płyta uruchomieniowa EBX51 Dariusz Kozak ZESTAW URUCHOMIENIOWY MIKROKOMPUTERÓW JEDNOUKŁADOWYCH MCS-51 ZUX51 Płyta uruchomieniowa EBX51 INSTRUKCJA OBSŁUGI Wszystkie prawa zastrzeżone Kopiowanie, powielanie i rozpowszechnianie w jakiejkolwiek

Bardziej szczegółowo

ZL24PRG. Interfejs JTAG dla mikrokontrolerów ARM

ZL24PRG. Interfejs JTAG dla mikrokontrolerów ARM ZL24PRG Interfejs JTAG dla mikrokontrolerów ARM ZL24PRG to interfejs JTAG dla mikrokontrolerów z rdzeniem ARM. Umożliwia programowanie oraz debugowanie popularnych rodzin mikrokontrolerów z rdzeniem ARM

Bardziej szczegółowo

Opis przedmiotu zamówienia CZĘŚĆ 1

Opis przedmiotu zamówienia CZĘŚĆ 1 Opis przedmiotu zamówienia CZĘŚĆ 1 Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają

Bardziej szczegółowo

Rys. 1. Schemat ideowy karty przekaźników. AVT 5250 Karta przekaźników z interfejsem Ethernet

Rys. 1. Schemat ideowy karty przekaźników. AVT 5250 Karta przekaźników z interfejsem Ethernet Głównym elementem jest mikrokontroler PIC18F67J60, który oprócz typowych modułów sprzętowych, jak port UART czy interfejs I2C, ma wbudowany kompletny moduł kontrolera Ethernet. Schemat blokowy modułu pokazano

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Jednym z najlepszych sposobów poznawania nowego typu mikrokontrolera

Jednym z najlepszych sposobów poznawania nowego typu mikrokontrolera Zestaw startowy dla P R O J E K T Y procesora MSP430F413, część 1 AVT 920 Z dostępnych na rynku mikrokontrolerów trudno jest jednoznacznie wybrać najlepszy. Każdy ma jakieś swoje zalety i wady. Nawet popularność

Bardziej szczegółowo

EVBfpga System ewaluacyjno-uruchomieniowy dla układów FPGA.

EVBfpga System ewaluacyjno-uruchomieniowy dla układów FPGA. EVBfpga System ewaluacyjno-uruchomieniowy dla układów FPGA. Instrukcja uŝytkownika Evalu ation Board s for 51, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

ISP ADAPTER. Instrukcja obsługi rev.1.1. Copyright 2009 SIBIT

ISP ADAPTER. Instrukcja obsługi rev.1.1. Copyright 2009 SIBIT Instrukcja obsługi rev.1.1 Spis treści 1.Wprowadzenie... 3 2. Rozmieszczenie elementów...4 3. Opis wyprowadzeń złącza ISP...6 4. Zasilanie adaptera...7 5. Wybór źródła taktowania...8 6. Wybór programowanego

Bardziej szczegółowo

Politechnika Białostocka

Politechnika Białostocka Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Kod przedmiotu: TS1C 622 388 Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: Elektronika samochodowa Temat: Programowanie

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX Zestaw DSP60EX Karta DSP60EX współpracuje z sterownikiem DSP60 i stanowi jego rozszerzenie o interfejs we/wy cyfrowy, analogowy oraz użytkownika. Karta z zamontowanym sterownikiem pozwala na wykorzystanie

Bardziej szczegółowo

Stanowisko laboratoryjne dla mikrokontrolera ATXmega32A4 firmy Atmel

Stanowisko laboratoryjne dla mikrokontrolera ATXmega32A4 firmy Atmel Katedra Metrologii i Optoelektroniki Wydział Elektroniki, Telekomunikacji i Informatyki Politechnika Gdańska LABORATORIUM MIKROKONTROLERY I MIKROSYSTEMY Stanowisko laboratoryjne dla mikrokontrolera ATXmega32A4

Bardziej szczegółowo

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 1. Cel ćwiczenia Celem ćwiczenia jest pokazanie budowy systemów opartych na układach Arduino. W tej części nauczymy się podłączać różne czujników,

Bardziej szczegółowo

Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515

Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515 Laboratorium Techniki Mikroprocesorowej Informatyka studia dzienne Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515 Cel ćwiczenia Celem ćwiczenia jest poznanie możliwości nowoczesnych

Bardziej szczegółowo

ZL15PLD. Płyta bazowa dla modułów z układem XC2C256

ZL15PLD. Płyta bazowa dla modułów z układem XC2C256 ZLPLD Płyta bazowa la moułów z ukłaem XCC ZLPLD to płyta bazowa la moułów ippld z ukłaem CoolRunner XCC firmy Xilinx (ZLPLD). Płyta jest wyposażona w wiele stanarowych urzązeń peryferyjnych, m.in. -cyfrowy

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 16 06x_EIA232_4 Opis ogólny Moduł zawiera transceiver EIA232 typu MAX242, MAX232 lub podobny, umożliwiający użycie linii RxD, TxD, RTS i CTS interfejsu EIA232 poprzez złącze typu

Bardziej szczegółowo

Płytka ewaluacyjna z ATmega16/ATmega32 ARE0021/ARE0024

Płytka ewaluacyjna z ATmega16/ATmega32 ARE0021/ARE0024 Płytka ewaluacyjna z ATmega16/ATmega32 ARE0021/ARE0024 Płytka idealna do nauki programowania mikrokontrolerów i szybkiego budowanie układów testowych. Posiada mikrokontroler ATmega16/ATmega32 i bogate

Bardziej szczegółowo

202_NAND Moduł bramek NAND

202_NAND Moduł bramek NAND 45 202_NAND Moduł bramek NAND Opis ogólny Moduł 202_NAND zawiera: 3 bramki NAMD 3-wejściowe, 4 bramki NAND 4-wejściowe i jedną bramkę NAND -wejściową oraz 5 bramek NOT negujących stan sygnałów wejściowych

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1.

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 PAMIĘCI SZEREGOWE EEPROM Ćwiczenie 3 Opracował: dr inŝ.

Bardziej szczegółowo

Tester samochodowych sond lambda

Tester samochodowych sond lambda Tester samochodowych P R O sond J E lambda K T Y Tester samochodowych sond lambda Elektroniczny analizator składu mieszanki AVT 520 Przyrz¹d opisany w artykule s³uøy do oceny sprawnoúci sondy lambda oraz

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 35 160_7SEG2 Moduł zawiera dwupozycyjny 7-segmentowy wyświetlacz LED ze wspólną anodą, sterowany przez dwa dekodery HEX->7SEG zrealizowane w układach GAL16V8. Dekodery przypominają

Bardziej szczegółowo

Opis przedmiotu zamówienia

Opis przedmiotu zamówienia Opis przedmiotu zamówienia Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają służyć

Bardziej szczegółowo

oznaczenie sprawy: CRZP/231/009/D/17, ZP/66/WETI/17 Załącznik nr 6 I-III do SIWZ Szczegółowy opis przedmiotu zamówienia dla części I-III

oznaczenie sprawy: CRZP/231/009/D/17, ZP/66/WETI/17 Załącznik nr 6 I-III do SIWZ Szczegółowy opis przedmiotu zamówienia dla części I-III oznaczenie sprawy: CRZP/231/009/D/17, ZP/66/WETI/17 Załącznik nr 6 I-III do SIWZ Szczegółowy opis przedmiotu zamówienia dla części I-III Część I zamówienia Dostawa urządzeń na potrzeby modernizacji stolika

Bardziej szczegółowo

Zestaw Startowy EvB. Więcej informacji na stronie: http://and-tech.pl/zestaw-evb-5-1/

Zestaw Startowy EvB. Więcej informacji na stronie: http://and-tech.pl/zestaw-evb-5-1/ Zestaw Startowy EvB Zestaw startowy EvB 5.1 z mikrokontrolerem ATMega32 jest jednym z najbardziej rozbudowanych zestawów dostępnych na rynku. Został zaprojektowany nie tylko z myślą o początkujących adeptach

Bardziej szczegółowo

Uniwersalna płytka generatora tonów CTCSS, 1750Hz i innych.

Uniwersalna płytka generatora tonów CTCSS, 1750Hz i innych. 1 Uniwersalna płytka generatora tonów CTCSS, 1750Hz i innych. Rysunek 1. Schemat ideowy Generatora tonów CTCSS V5. Generator tonów CTCSS został zbudowany w oparciu o popularny mikrokontroler firmy Atmel

Bardziej szczegółowo

400_74194x2 Moduł rejestrów przesuwnych

400_74194x2 Moduł rejestrów przesuwnych 400_4x2 Moduł rejestrów przesuwnych Opis ogólny Moduł 400_4x2 zawiera dwa układy 4 rejestrów przesuwnych. Nadruk na płytce drukowanej modułu w dostateczny sposób opisuje znaczenie wtyków umieszczonych

Bardziej szczegółowo

Generator tonów CTCSS, 1750Hz i innych.

Generator tonów CTCSS, 1750Hz i innych. Generator tonów CTCSS, 75Hz i innych. Rysunek. Schemat ideowy Generatora tonów CTCSS V6. Generator tonów CTCSS został zbudowany w oparciu o popularny mikrokontroler firmy Atmel z rodziny AVR, ATTINY33.

Bardziej szczegółowo

2.1 Przesył danych między procesorem a tabelą zmiennych

2.1 Przesył danych między procesorem a tabelą zmiennych 1 Wstęp...1 2 Jak aplikacja obsługuje procesory?...2 2.1 Przesył danych między procesorem a tabelą zmiennych...2 2.2 Polecenia wysyłane do procesorów...2 3 Podstawowe peryferia procesora HallChip...3 3.1

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

Moduł prototypowy.. Leon Instruments. wersja 1.0

Moduł prototypowy.. Leon Instruments. wersja 1.0 wersja 1.0 Moduł extrino XL umożliwia prototypowanie urządzeń z wykorzystaniem procesora ATmega128A3U-AU AU oraz naukę programowania nowoczesnych mikrokontrolerów z serii XMEGA firmy Atmel. Moduł znajdzie

Bardziej szczegółowo

MOBOT RoboSnake. Moduł wieloczłonowego robota

MOBOT RoboSnake. Moduł wieloczłonowego robota MOBOT RoboSnake Moduł wieloczłonowego robota Instrukcja obsługi i montażu P.P.H. WObit mgr inż. Witold Ober 61-474 Poznań, ul. Gruszkowa 4 tel.061/8350-620, -800 fax. 061/8350704 e-mail: wobit@wobit.com.pl

Bardziej szczegółowo

Deklaracja zgodności jest dostępna pod adresem

Deklaracja zgodności jest dostępna pod adresem GENERATOR KOMUNIKATÓW GŁOSOWYCH INT-VMG int-vmg_pl 03/12 Moduł INT-VMG umożliwia głośne odtwarzanie nagranych uprzednio komunikatów w przypadku wystąpienia określonych zdarzeń. Współpracuje z centralami

Bardziej szczegółowo