(1) Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6

Wielkość: px
Rozpocząć pokaz od strony:

Download "(1) Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6"

Transkrypt

1 (1) Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 Laboratorium Mikroprocesorowych Układów Sterowania instrukcja wspomagająca realizację projektu

2 Przed przystąpieniem do pracy lub w przypadku wystąpienia problemów z kompilacją proszę sprawdzić: a. Nazwy plików nie mogą zawierać spacji oraz polskich znaków b. Nazwy folderów nie mogą zawierać spacji oraz polskich znaków c. Nazwa pliku oraz nazwa folderu nie może zaczynać się cyfrą d. Grupa otwiera projekt nie plik. Najpierw uruchomić środowisko Quartus a następnie menu File, Open Project e. Grupa otwiera swoją przestrzeń roboczą w środowisku NiosII EDS. Kiedy pojawi się okno Select Workspace proszę wskazać odpowiednią ścieżkę do swojego katalogu. Jeśli okno się nie pojawi proszę przełączyć workspace: menu File, Switch Workspace f. Nazwy plików oraz folderów nie mogą być takie same jak nazwy bloków cyfrowych i symboli tworzonych przez projektanta systemu g. Bez względu na ilustracje zawarte w instrukcji proszę sprawdzić czy używane (wprowadzone przez Państwa) nazwy wyprowadzeń znajdują się na zaimportowanej liście h. Proszę sprawdzić czy płytka DE2 jest zasilona oraz czy wtyczka przewodu USB znajduje się w miejscu przeznaczonym dla programatora USB BLASTER i. W razie wystąpienia błędu polegającego na nierozpoznawaniu elementów wprowadzonych przez użytkownika proszę odszukać i wskazać właściwy folder zawierający układy i bloki cyfrowe zaprojektowane przez Państwa: menu Project, Add/Remove Files in Project j. W przypadku tworzenia elementów za pomocą tzw. wizzarda (kreatora elementów) proszę bezwzględnie wskazywać ścieżkę do swojego katalogu k. Nazwy elementów tworzonych przez wizzarda nie mogą być takie jak nazwy elementów istniejących już w bibliotece l. Jeśli nie można programować układu należy sprawdzić, czy nie jest przypadkiem aktywna sesja w środowisku NIOSII EDS. Aby programować układ FPGA trzeba przerwać debagowanie układu z poziomu NIOSII EDS m. Zawsze gdy struktura procesora NIOS zmieni się należy przed generacją nowego układu zaktualizować adresy: menu System, Assign Base Addresses. Należy również pamiętać o aktualizacji biblioteki BSP w projekcie NIOSII EDS

3 1. Wstęp Laboratorium Mikroprocesorowych Układów Sterowania składa się jedynie z kilku spotkań, na których realizowany jest projekt polegający na: a) utworzeniu struktury cyfrowej zawierającej wejścia, wyjścia, układ PLL oraz procesor NIOSII b) wyborze i parametryzacji modułów procesora takich jak CPU, pamięć, układy transmisji szeregowej, układy portów równoległych oraz ustawieniu właściwych połączeń logicznych i adresów w powstałej strukturze procesora c) napisaniu programu w języku C wykonywanego przez procesor NIOSII służącego testom wybranych układów elektronicznych umieszczonych na płytce edukacyjnej DE2 Na wstępnie należy pobrać i zainstalować program Quartus13.0sp1 ze strony Wybrać Design Tools & Services, Design Software i wybrać Quartus II Web Edition Software

4 Nacisnąć przycisk Download Software Web Edition Free. Wskazać na wersję 13.0sp1

5 Można pobrać pełne oprogramowanie w postaci obrazu DVD lub zdecydować się na pobranie wersji minimalnej ale wystarczającej. Sprowadza się to do wyboru jedynie dwóch komponentów i naciśnięciu przycisku Download Selected File. Następnie program należy zainstalować przy zachowaniu jego defaultowych ustawień i podpowiedzi. Gdyby pojawiła się potrzeba zainstalowania sterowników dla programatora Byte-Blaster należy odszukać te sterowniki w folderze c:\altera\13.0sp1\quartus\drivers\ lub podobnym.

6 Istalacja powinna spowodować, że w menu start pojawi się folder Altera Web Edition. Proszę zwrócić uwagę na dwa środowiska: a) Quartus II 13.0sp1 (63-bit) --- tutaj powstaje struktura cyfrowa oraz procesor b) Nios II 13.0sp1 Software Build Tool For Eclipse --- tutaj piszemy kod program dla procesora NIOSII 2. Materiały pomocnicze oraz literatura Na stanowisku komputerowym w folderze MUS_NS umieszczono materiały pomocnicze: a) Procesory_NiosII_cz1.pdf, Procesory_NiosII_cz2.pdf, Procesory_NiosII_cz3.pdf, Procesory_NiosII_cz4.pdf artykuły poświęcone tworzeniu procesora NIOS II b) DE2_System_v1.5.zip pakiet przykładowych projektów, ćwiczeń oraz dokumentacji dla zestawu DE2, znajdują się tu między innymi DE2_UserManual.pdf, DE2_schematics.pdf oraz DE2_Pin_Table.pdf c) RAPID_SOPC.pdf książka napisana w języku angielskim wprowadzająca do projektowania i prototypowania z wykorzystaniem układów FPGA d) Materiały do wykładu w postaci plików pdf Materiały pomocnicze znajduje się również w zasobach internetowych.

7 3. Projekt struktury startowej w środowisku Quartus II 1. Uruchomić z menu START program Quartus II 13.0sp1 (64-bit) 2. Wybrać z menu górnego głównego paska File, New Project Wizard 3. Po pojawieniu się nowego okna, w odsłonie 1/5 (Directory, Name, Top-Level Entity ) należy wprowadzić ścieżki i nazwy projektu, krótkie, bez spacji oraz polskich czcionek 4. W odsłonie Add Files [page 2 of 5] wybieramy next 5. W odsłonie Family & Device Settings [page 3 of 5] należy zaznaczyć opcje jak na ilustracji poniżej i kliknąć Next 6. W odsłonie EDA Tool Settings [page 4 of 5] wybieramy next a następnie kończymy naciskając przycisk Finish 7. Tworzymy plik struktury cyfrowej, wybieramy menu New a następnie zaznaczamy Desing Files, Block Diagram/Schematic File. Naciskamy OK. 8. Zapisujemy plik z nazwą sugerowaną przez system 9. Należy teraz zaimportować listę nazw wyprowadzeń jest to plik, który znajduje się w materiałach pomocniczych, w pliku DE2_System_v1.5.zip. Należy rozpakować i odszukać plik \DE2_demonstrations\DE2_Default\DE2_Default.qsf. Wskazówki umieszczone są na następnej ilustracji

8 Wybrać Import Assigments Wskazać plik DE2_Default.qsf Upewnić się, że import zostały zakończony powinien pojawić się napis w oknie konsoli środowiska QUARTUS II 10. Na tym etapie można przystąpić do budowy procesora NIOS, która raczej przypomina składanie procesora z dostępnych komponentów. Wybrać menu Tools a następnie nacisnąć Qsys pojawi się nowe okno, w którym projektant tworzy procesor NIOSII

9 11. W małym oknie po lewej stronie o nazwie Component Library, w gałęzi Embedded Processors wybieramy Nios II Processor i klikamy przycisk znajdujący się poniżej +Add 12. Pojawi się okno parametryzacji modułu CPU, który został właśnie wybrany. Proszę zwrócić uwagę na zakładki, zwłaszcza na zakładkę Core Nios II, w której wybieramy wersję rdzenia CPU (zaznaczyć wybór Nios II/e) oraz wskazujemy pamięci, z którymi rdzeń CPU będzie współpracował. Jednakże pamięci te pojawią się dopiero po ich dodaniu do projektu procesora oraz skonfigurowaniu połączeń logicznych między wszystkimi modułami. Należy więc nastawić się na parametryzację iteracyjną. W niniejszym punkcie wybieramy jedynie Nios II/e i klikamy Finish 13. W małym oknie po lewej stronie o nazwie Component Library, w gałęzi Memories and Memory Interfaces wybieramy SDRAM Interfaces, SDRAM Control i klikamy przycisk znajdujący się poniżej +Add. Tutaj zmieniamy jedynie szerokość szyny danych z 32 bitów na 16 i zatwierdzamy przyciskiem Finish 14. Teraz postępując analogicznie, należy odszukać komponent JTAG UART i dodać do struktury 15. Dodać ostatni element jakim jest port PIO (Parallel I/O) 8-bitowy 16. Ustanowić połączenia logiczne między komponentami, na ten czas należy zaznaczyć wszystkie punkty gdzie krzyżują się ścieżki powiązań. Po zaznaczeniu (kropki, miejsca przecięcia powinny być czarne) należy wybrać z menu System, Assign Base Addresses służy to uporządkowaniu adresów komponentów. Liczba błędów powinna być teraz zredukowana do dwóch tak jak jest to pokazane na ilustracjach

10 Przez zaznaczeniem powiązań Po zaznaczeniu powiązań oraz uporządkowaniu adresów 17. Teraz należy powrócić do edycji i parametryzacji modułu CPU. Kliknąć na moduł nios2_qsys_0 oraz wskazać oraz wybrać pamięć SDRAM w zakładce Core Nios II

11 18. Po wskazaniu pamięci, z którymi współpracuje CPU powinny pozostać jedynie trzy ostrzeżenia. Aby je usunąć należy wykonać trzy czynności polegające na podwójnym kliknięciu w miejsca oznaczone na ilustracji czerwoną strzałką 19. Aktualizujemy adresy modułów tak jak w punkcie 16 a następnie w zakładce Generation naciskamy przycisk Generate. Po udanej kompilacji struktury procesora zamykamy okno główne narzędzia Qsys i przechodzimy do głównego okna projektu w środowisku Quartus. 20. Wprowadzić do schematu symbol procesora klikając dwukrotnie lewym przyciskiem myszy. Otworzy się okno biblioteki elementów. Wskazujemy na procesor i zatwierdzamy. Następnie na schemacie klikamy prawym przyciskiem myszy wskazując na procesor i wybieramy z menu kontekstowego Generate Pins For Symbol Ports. Aby sprawdzić poprawność projektu na tym etapie wybieramy z menu głownego Processing, Analyze Current File. Jeśli pojawi się błąd jak na ilustracji

12 Należy dodać plik opisujący procesor. Menu Project, Add/Remove Files In Project. Na ilustracji poniżej nazwa procesora jest px, tutaj należy wskazać pliki własne. 21. Jeśli sprawdzenie schematu zakończyło się bez błędów należy zmienić nazwy wyprowadzeń na takie, które występują w mapie wyprowadzeń, które została zaimportowana w punkcie Dodanie układu PLL z dwoma zegarami 50MHz. Należy wprowadzić element z biblioteki o nazwie ALTPLL i ustawić jego parametry tak, by były one zgodne z poniższą ilustracją. Zegar C0: 50MHz, faza 0, zegar C1: 50MHz, faza -60. Następnie należy uruchomić pełną kompilację menu Procesing, Start Compilation 23. Po kompilacji włączyć zasilanie płytki i zaprogramować ją. Wybrać z menu Tools, Programmer a następnie nacisnąć przycisk START 24. W tej chwili rozpoczyna się etap programowania procesora NIOSII, którego struktura cyfrowa została wgrana do zestawu DE2. Proszę uruchomić z menu Tools, Nios II Software Build Tools For Eclipse. Bezwzględnie należy wskazać swój folder, czyli workspace. W przeciwnym razie środowisko otworzy strukturę projektu innej grupy laboratoryjnej

13 25. Po uruchomieniu nowego środowiska do pisania kodu w języku C i pisania programów dla procesora NIOSII należy utworzyć nowy projekt, menu File, New, Nios II Application and BSP form Template 26. Po otwarciu okna dialogowego należy podać ścieżkę do pliku opisu procesora, nazwę właśnie tworzonego projektu oraz wybrać template projektu (wzorzec). Ilustruje to rysunek na następnej stronie

14 Proszę pamiętać o wskazaniu swojego procesora, może on mieć nazwę różną od px 27. Teraz można przystąpić do kompilacji wzorca (później można ten kod zmienić na swój). Prawym przyskiem myszy wskazać na folder projektu w oknie drzewa projektu. Następnie z menu kontekstowego wybrać Run As, Nios II Hardware

15 28. Przed finałowym połączeniem z procesorem NIOSII znajdującym się w układzie CYCLONEII na płytce DE2 może wystąpić potrzeba wskazania urzadzenia ByteBlaster. Sprowadza się do naciśnięcia REFRESH CONNCECTION 29. Po połączeniu środowiska NiosII Build Tools oraz załadowaniu kodu programu, w konsoli systemowej powinien pojawić się napis wysłany z procesora NIOSII/e do komputera PC: Hello from Nios II Celem ćwiczenia jest utrwalenie postępowania wg instrukcji oraz wstępna zmiana kodu programu procesora.

16 (2) Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja wspomagająca realizację projektu

17 1. Otworzyć projekt. Nacisnąć prawy przycisk myszy i wybrać Open Design File. Wybrać plik z rozszerzeniem qsys. 2. Po otwarciu głównego okna narzędzia Q-SYS zawierającego projekt struktury procesora należy dodać moduł Altera Avalon LCD W kolumnie Connection zaznaczyć punkty przecięcia się powiązań logicznych. 4. Uwzględniając uwagę programu należy wyeksportować opis LCD_16207_0-external poprzez dwukrotne kliknięcie w kolumnie export. 5. Uaktualnić adresy modułów: menu System, Assign Base Addresses 6. Wygenerować strukturę procesora 7. Przejść do scheamtu głownego projektu w środowsku Quartus a następnie kliknąć prawym przyciskiem myszy i z menu wybrać Update Symbol or Block a nstępnie potwierdzić, że zmiana dotyczy jedynie zaznaczonych elementów

18 8. Po zmianie wyglądu symbolu procesora należy dodać wyprowadzenia wyświetlacza LCD 9. Aby wyświetlacz działał należy do schematu głównej struktury dodać dwa pojedyncze wyprowadzenia typu output. Jedno o nazwie LCD_ON, drugie o nazwie LCD_BLON. Nazwy sugerują, że piny te odpowiadają za zasilanie i opcjonalne podświetlenie wyświetlacza. Oba piny połączyć ze stanem wysokim, czyli elementem o nzawie VCC. 10. Aby uniknąć błędu kompilacji należy na nowo nadać oznaczenie porządkujące dla procesora, na przykład procesor1 zamiast inst 11. Aby upewnić się, że zostały wykorzystane odpowiednie wyprowadzenia można właczyć lub/i wyłączyć znaczniki lokalizacji zgodnie z poniższą ilustracją 12. Po kompilacji zakończonej bez błędów nową strukturę cyfrową należy wgrać do układu FPGA a następnie otworzyć środowisko do tworzenia kodu dla procesora, czyli NiosII EDS. 13. Sprawdzić tzw. workspace i ewentualnie wybrać swój katalog roboczy. 14. Usunąć istniejące projekty w swoim obszarze roboczym. Po wybraniu DELETE zaznaczyć usuwanie z dysku.

19 15. Postępując tak jak w poprzednim ćwiczeniu dodać dwa projekty o nazwie drugi oraz pomoc. Pierwszy z nich utworzyć ze wzorca Hello Word drugi z wzorca Board Diagnostic. 16. W drugim projekcie odszukać fragment kodu programu związany z obsługą wyświetlacza LCD. Proszę przeanalizować ten fragment i ewentualnie go skopiować do projektu drugi. Następnie należy doprowadzić do tego, by kod programu był następujący: #include <stdio.h> #include "system.h" FILE *lcd; int main() { printf("hello from Nios II!\n"); lcd = fopen(lcd_16207_0_name, "w"); if (lcd!= NULL ) { fprintf(lcd, "\nthis is the LCD Display.\n"); fprintf(lcd, " \n"); printf("lcd is opened!\n"); } else { printf("error!\n"); } return 0; } 17. Uruchomić wgrywanie programu Rus As Nios II Hardware. Może pojawić się okno konfiguracji połączenia tak jak poniżej. Należy zignorować i pominąć sprawdzenie ID (krok 1 oraz 2 na ilustracji) oraz odświeżyć połączenie (krok trzeci na ilustracji w dalszej części instrukcji).

20 18. Po połączeniu oraz uruchomieniu programu, w tym wyświetlacza LCD należy przeanalizować kod i utrwalić sobie zasady obsługi tego modułu. Proszę przypomnieć sobie funkcje sprintf, fprintf oraz zasady formatowania tekstów zawierających wartości całkowite, zmiennoprzecinkowe albo heksadecymalne.

21 (3) Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza siedmiosegmentowego. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja wspomagająca realizację projektu

22 Zakłada się, że podstawowe czynności związane z narzędziem Q-SYS oraz środowiskami QUARTUS oraz NIOSII EDS są już opanowane. Dlatego też niniejsza instrukcja nie będzie miała charakteru szczegółowego przewodnika określającego czynności krok po kroku. W razie problemów należy przeczytać i zastosować się do sugestii zawartych na początku instrukcji w poprzednim ćwiczeniu. Plan działania jest następujący: 1. Dodać 8 portów wyjściowych 7-bitowych o wartości wstępnej 0x7f. Można wprowadzić i sparametryzować jeden port a następnie zduplikować go oszczędzi to czasu przy projektowaniu struktury procesora. 2. Wygenerować procesor i zaktualizować jego wygląd w projekcie w środowisku QUARTUS a następnie wprowadzić piny typu OUTPUT o nazwach HEX0,, HEX7. 3. Zatwierdzić zmiany po czym skompilować strukturę a następnie wgrać do układu FPGA. 4. Nie trzeba tworzyć nowego projektu środowisku NIOSII EDS, można pracować na jednym ale trzeba pamiętać o aktualizacji biblioteki BSP patrz ilustracja poniżej. 5. Dodać do programu dla procesora NIOSII/e kod umożliwiający obsługę dołączonych 8 wyświetlaczy siedmiosegmentowych. Wartości potrzebne do transkodowania formatu binarnego na kod wyświetlacza można umieścić w tablicy. Wartość, która ma być wizualizowana adresuje odpowiedni element poniższej tablicy const unsigned char segments [16] = { 0x81,0xCF,0x92,0x86,0xCC,0xA4,0xA0,0x8F,0x80,0x84,/* 0 9 */ 0x88, 0xE0, 0xF2, 0xC2, 0xB0, 0xB8 };/* a f */ 6. Dołączyć następujące biblioteki lub załączyć brakujące. #include "alt_types.h" #include <stdio.h> #include <unistd.h> #include "system.h" #include "sys/alt_irq.h" #include "altera_avalon_pio_regs.h"

23 7. Poniżej podano fragment kodu program realizującego wyświetlenie liczb od 0 do 7. Proszę zwrócić uwagę na sposób zapisu do portu równoległego typu output wykorzystujący wskaźnik oraz STAŁE zdefiniowane w pliku system.h znajdującym się w bibliotece BSP. *(int*)hex_0_base=segments[0]; *(int*)hex_1_base=segments[1]; *(int*)hex_2_base=segments[2]; *(int*)hex_3_base=segments[3]; *(int*)hex_4_base=segments[4]; *(int*)hex_5_base=segments[5]; *(int*)hex_6_base=segments[6]; *(int*)hex_7_base=segments[7]; 8. Napisać i zastosować funkcję służącą do wyświetlenia wartości 32-bitowej w kodzie szesnastkowym na 8 wyświetlaczach siedmiosegmentowych.

24 (4) Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa przerwania zewnętrznego. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja wspomagająca realizację projektu

25 Zakłada się, że podstawowe czynności związane z narzędziem Q-SYS oraz środowiskami QUARTUS oraz NIOSII EDS są już opanowane. Dlatego też niniejsza instrukcja nie będzie miała charakteru szczegółowego przewodnika określającego czynności krok po kroku. Plan działania: 1. Dodać jednobitowe wejście do procesora o nazwie BUTTON_PIO. Skonfigurować je tak jak na rysunku poniżej. 2. Wygenerować procesor. Zaktualizować symbol procesora na schemacie. Podłączyć wejście jednobitowe o nazwie KEY[2] do wejścia BUTTON_PIO. Po poprawnej kompilacji wgrać strukturę do układu FPGA. 3. W środowisku NIOSII EDS utworzyć projekt tymczasowy, z którego przekopiować fragmenty kodu związanego z obsługą przerwania zewnętrznego podłączonego do wyprowadzenia BUTTON_PIO. Następnie zaktualizować bibliotekę BSP. Kod programu, w którym wykorzystany jest LCD, wskaźniki siedmiosegmentowe oraz pojedyncze przerwanie zewnętrzne reagujące na zmianę stanu na pinie, może wyglądać następująco:

26 #include "alt_types.h" #include <stdio.h> #include <unistd.h> #include "system.h" #include "sys/alt_irq.h" #include "altera_avalon_pio_regs.h" FILE *lcd; const unsigned char segments [16] = {0x81,0xCF,0x92,0x86,0xCC,0xA4,0xA0,0x8F,0x80,0x84, /* 0 9 */ 0x88, 0xE0, 0xF2, 0xC2, 0xB0, 0xB8 }; /* a f */ #ifdef BUTTON_PIO_BASE /* A variable to hold the value of the button pio edge capture register. */ volatile int edge_capture,x=0; #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void handle_button_interrupts(void* context) #else static void handle_button_interrupts(void* context, alt_u32 id) #endif { /* Cast context to edge_capture's type. It is important that this be * declared volatile to avoid unwanted compiler optimization.*/ volatile int* edge_capture_ptr = (volatile int*) context; /* Store the value in the Button's edge capture register in *context. */ *edge_capture_ptr = IORD_ALTERA_AVALON_PIO_EDGE_CAP(BUTTON_PIO_BASE); /* Reset the Button's edge capture register. */ IOWR_ALTERA_AVALON_PIO_EDGE_CAP(BUTTON_PIO_BASE, 0); /* * Read the PIO to delay ISR exit. This is done to prevent a spurious * interrupt in systems with high processor > pio latency and fast * interrupts. */ IORD_ALTERA_AVALON_PIO_EDGE_CAP(BUTTON_PIO_BASE); //funkcja uzytkownika wykonywana w przerwaniu #ifdef LEDG_BASE *(int *)LEDG_BASE=x++; #endif } /* Initialize the button_pio. */ static void init_button_pio() { /* Recast the edge_capture pointer to match the alt_irq_register() function * prototype. */ void* edge_capture_ptr = (void*) &edge_capture; /* Enable all 4 button interrupts. */ IOWR_ALTERA_AVALON_PIO_IRQ_MASK(BUTTON_PIO_BASE, 0xf); /* Reset the edge capture register. */ IOWR_ALTERA_AVALON_PIO_EDGE_CAP(BUTTON_PIO_BASE, 0x0); /* Register the interrupt handler. */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(button_pio_irq_interrupt_controller_id,button_pio_irq,handle_button_interrupts, edge_capture_ptr, 0x0); #else alt_irq_register( BUTTON_PIO_IRQ, edge_capture_ptr,handle_button_interrupts); #endif } #endif /* BUTTON_PIO_BASE */ int main() { printf("hello from Nios II!\n"); lcd = fopen(lcd_16207_0_name, "w"); if (lcd!= NULL ) { fprintf(lcd, "\nthis is the LCD Display.\n");

27 fprintf(lcd, " \n"); printf("lcd is opened!\n"); } else { printf("error!\n"); } *(int*)hex_0_base=segments[0]; *(int*)hex_1_base=segments[1]; *(int*)hex_2_base=segments[2]; *(int*)hex_3_base=segments[3]; *(int*)hex_4_base=segments[4]; *(int*)hex_5_base=segments[5]; *(int*)hex_6_base=segments[6]; *(int*)hex_7_base=segments[7]; #ifdef BUTTON_PIO_BASE init_button_pio(); #endif } return 0; Zadania te kończą etap ćwiczeń. Na następnych spotkaniach realizowane będą zadania projektowe.

28 1. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu i zapisu: a) niezależne trzy szyny systemowe, b) szyna danych dwukierunkowa 8-bitowa, c) szyna adresów 4-bitowa, d) sygnały sterujące OE, WR, RD, e) adresacja zgodna z tabelą poniżej. adres dziesiętnie zapis odczyt 0 LEDR[7..0] SW[7..0] 1 LEDR[15..8] SW[15..8] 2 LEDR[17..16] SW[17..16] 3 LEDG[7..0] KEY[2] 4 LEDG[8] KEY[3] 5 HEX0[6..0] HEX0[6..0] 6 HEX1[6..0] HEX1[6..0] 7 HEX2[6..0] HEX2[6..0] 8 HEX3[6..0] HEX3[6..0] 9 HEX4[6..0] HEX4[6..0] 10 HEX5[6..0] HEX5[6..0] 11 HEX6[6..0] HEX6[6..0] 12 HEX7[6..0] HEX7[6..0]

29 2. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu i zapisu: a) niezależne trzy szyny systemowe, b) szyna danych dwukierunkowa 8-bitowa, c) szyna adresów 4-bitowa, d) sygnały sterujące OE, WR, RD, e) adresacja zgodna z tabelą poniżej. adres dziesiętnie zapis odczyt 0 HEX0[6..0] HEX0[6..0] 1 HEX1[6..0] HEX1[6..0] 2 HEX2[6..0] HEX2[6..0] 3 HEX3[6..0] HEX3[6..0] 4 HEX4[6..0] HEX4[6..0] 5 HEX5[6..0] HEX5[6..0] 6 HEX6[6..0] HEX6[6..0] 7 HEX7[6..0] HEX7[6..0] 8 LEDG[0] SW[0] 9 LEDG[1] SW[1] 10 LEDG[2] SW[2] 11 LEDG[3] SW[3] 12 LEDG[4] SW[0] 13 LEDG[5] SW[5] 14 LEDG[6] SW[6] 15 LEDG[7] SW[7]

30 3. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu i zapisu: a) niezależne trzy szyny systemowe, b) szyna danych dwukierunkowa 8-bitowa, c) szyna adresów 4-bitowa, d) sygnały sterujące OE, WR, RD, e) adresacja zgodna z tabelą poniżej. adres dziesiętnie zapis odczyt 0 HEX0[6..0] HEX0[6..0] 1 HEX1[6..0] HEX1[6..0] 2 HEX2[6..0] HEX2[6..0] 3 HEX3[6..0] HEX3[6..0] 4 HEX4[6..0] HEX4[6..0] 5 HEX5[6..0] HEX5[6..0] 6 HEX6[6..0] HEX6[6..0] 7 HEX7[6..0] HEX7[6..0] 8 LEDR[1..0] SW[1..0] 9 LEDR[3..2] SW[3..2] 10 LEDR[5..4] SW[5..4] 11 LEDR[7..6] SW[7..6] 12 LEDR[9..8] SW[9..8] 13 LEDR[11..9] SW[11..9] 14 LEDR[13..12] SW[13..12] 15 LEDR[15..14] SW[15..14]

31 4. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje zapisu: a) szyna danych tylko do zapisu 8-bitowa, b) multipleksowana szyna dane/adres, c) sygnały WR, ALE, d) adresacja niepełna zgodna z tabelą poniżej. adres dziesiętnie zapis 0 LEDR[7..0] 1 LEDR[15..8] 2 LEDR[17..16] 3 LEDG[7..0] 4 LEDG[8] 5 HEX0[6..0] 6 HEX1[6..0] 7 HEX2[6..0]

32 5. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje zapisu: a) szyna danych tylko do zapisu 16-bitowa, b) multipleksowana szyna dane/adres, c) sygnały WR, ALE, d) adresacja niepełna zgodna z tabelą poniżej. adres dziesiętnie zapis 0 LEDR[15..0] 1 HEX1[6..0], HEX0[6..0] 2 HEX3[6..0], HEX2[6..0] 3 HEX5[6..0], HEX4[6..0] 4 HEX7[6..0], HEX6[6..0]

33 6. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu: a) szyna danych tylko do odczytu 8-bitowa, b) multipleksowana szyna dane/adres, c) sygnały WR, ALE, d) adresacja niepełna zgodna z tabelą poniżej. adres dziesiętnie odczyt 0 SW[7..0] 1 SW[15..8] 2 KEY[1..0] 3 KEY[3..2]

34 7. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu i zapisu: a) niezależne trzy szyny systemowe, b) szyna danych dwukierunkowa 8-bitowa, c) szyna adresów 4-bitowa, d) sygnały sterujące OE, WR, RD, e) adresacja zgodna z tabelą poniżej. adres dziesiętnie zapis odczyt 0 HEX0[6..0] HEX0[6..0] 1 HEX1[6..0] HEX1[6..0] 2 HEX2[6..0] HEX2[6..0] 3 HEX3[6..0] HEX3[6..0] 4 HEX4[6..0] HEX4[6..0] 5 HEX5[6..0] HEX5[6..0] 6 HEX6[6..0] HEX6[6..0] 7 HEX7[6..0] HEX7[6..0] 8 LEDR[7..0] SW[7..0] 9 LEDR[15..8] SW[15..8] 10 LEDR[17..16] SW[17..16] 11 LEDG[7..0] KEY[2] 12 LEDG[8] KEY[3]

35 8. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu i zapisu: a) niezależne trzy szyny systemowe, b) szyna danych dwukierunkowa 4-bitowa, c) szyna adresów 2-bitowa, d) sygnały sterujące OE, WR, RD, e) adresacja zgodna z tabelą poniżej. adres dziesiętnie zapis odczyt 0 LEDG[3..0] SW[3..0] 1 LEDG[7..4] SW[7..4] 2 LEDR[3..0] SW[11..8] 3 LEDR[7..0]] SW[15..12]

36 9. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje odczytu i zapisu: a) niezależne trzy szyny systemowe, b) szyna danych dwukierunkowa 16-bitowa, c) szyna adresów 2-bitowa, d) sygnały sterujące OE, WR, RD, e) adresacja zgodna z tabelą poniżej. adres dziesiętnie zapis odczyt 0 LEDR[15..0] SW[15..0] 1 LEDR[17..16] KEY[3..0] 2 HEX1[6..0],HEX0[6..0] HEX3[6..0],HEX2[6..0] ---

37 10. Zaprojektować następujący system mikroprocesorowy oraz napisać funkcje zapisu: a) tylko szyna danych do zapisu 7-bitowa, b) 8 niezależnych sygnałów aktywacji zapisu, po jednym na każdy wskaźnik HEX, c) 1 globalne wyjście aktywujące świecenie HEX, d) wykorzystać bloki DFFE.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 5 ZYNQ. Obsługa przerwań. Zespół Rekonfigurowalnych

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Programowanie niskopoziomowe

Programowanie niskopoziomowe W. Complak, J.Kniat, M. Antczak, K. Kwarciak, G. Palik, A. Rybarczyk, Ł. Wielebski Materiały Programowanie niskopoziomowe http://www.cs.put.poznan.pl/arybarczyk/c_w_0.pdf Spis treści 1. Instalacja środowiska

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

Podstawy programowania w środowisku Totally Integration Automation Portal

Podstawy programowania w środowisku Totally Integration Automation Portal GRUPA MT Temat i Autor Podstawy programowania w środowisku Totally Integration Automation Portal Krzysztof Bodzek, Arkadiusz Domoracki, Grzegorz Jarek CEL ĆWICZENIA 1. Poznanie narzędzia Totally Integration

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Programowanie procesora Microblaze w środowisku SDK

Programowanie procesora Microblaze w środowisku SDK Programowanie procesora Microblaze w środowisku SDK 9 kwietnia 2010 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ 1.Wstęp Celem niniejszego ćwiczenia jest: zapoznanie

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort:

Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort: Istnieją trzy sposoby tworzenia kopii zapasowej na panelu Comfort: - automatyczna kopia zapasowa - kopia zapasowa / przywracanie z użyciem karty pamięci - kopia zapasowa / przywracanie z użyciem programu

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

Moduł USB GREISINGER EBW3 EASYBUS

Moduł USB GREISINGER EBW3 EASYBUS Moduł USB GREISINGER EBW3 EASYBUS Instrukcja obsługi Nr produktu: 123136 Strona 1 z 7 1. Instalacja 1.1 Windows Vista Instalacja sterowników do niniejszego urządzenia wymaga zalogowania się na konto użytkownika

Bardziej szczegółowo

cmt + CODESYS, oraz zdalne wejścia/ wyjścia

cmt + CODESYS, oraz zdalne wejścia/ wyjścia Instrukcja użytkownika cmt + CODESYS, oraz zdalne wejścia/ wyjścia W tej instrukcji przedstawiono krok po kroku, jak skonfigurować panel cmt3090 i zdalne wejścia/ wyjścia. V1.00 Spis treści 1. Instalacja

Bardziej szczegółowo

Pobieranie edytora CodeLite

Pobieranie edytora CodeLite Pobieranie edytora CodeLite Wejdź na stronę http://codelite.org/ a następnie przejść do ekranu pobierania (bez wykonywania dobrowolnej wpłaty). Kliknij na zakładkę Download a następnie No thanks, just

Bardziej szczegółowo

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja:

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja: Instrukcja aktualizacji oprogramowania Wersja dokumentu: 01i00 Aktualizacja: 2016-03-11 Uwagi Inne dokumenty dotyczące obsługi urządzeń można pobrać ze strony energetyka.itr.org.pl Przed aktualizacją oprogramowania

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

Nagrywamy podcasty program Audacity

Nagrywamy podcasty program Audacity Pobieranie i instalacja Program Audacity jest darmowym zaawansowanym i wielościeżkowym edytorem plików dźwiękowych rozpowszechnianym na licencji GNU GPL. Jest w wersjach dla systemów typu Unix/Linux, Microsoft

Bardziej szczegółowo

Galileo v10 pierwszy program

Galileo v10 pierwszy program Notatka Aplikacyjna NA 03011PL Galileo v10 Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Podstawy... 3 2.1. Tworzenie nowego projektu... 3 2.2. Dodawanie pola tekstowego... 10 2.3. Przechodzenie

Bardziej szczegółowo

Projektowanie baz danych za pomocą narzędzi CASE

Projektowanie baz danych za pomocą narzędzi CASE Projektowanie baz danych za pomocą narzędzi CASE Metody tworzenia systemów informatycznych w tym, także rozbudowanych baz danych są komputerowo wspomagane przez narzędzia CASE (ang. Computer Aided Software

Bardziej szczegółowo

Instalacja sterownika USB do central MICRA, SIGMA, OPTIMA ver. 2.05

Instalacja sterownika USB do central MICRA, SIGMA, OPTIMA ver. 2.05 Instalacja sterownika USB do central MICRA, SIGMA, OPTIMA ver. 2.05 PLATAN Sp. z o.o. 81-855 SOPOT, ul. Platanowa 2 tel. 58 555 88 00, fax 58 555 88 01 www.platan.pl e-mail: platan@platan.pl Konsultacje

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

Instrukcja wgrywania aktualizacji oprogramowania dla routera Edimax LT-6408n

Instrukcja wgrywania aktualizacji oprogramowania dla routera Edimax LT-6408n Instrukcja wgrywania aktualizacji oprogramowania dla routera Edimax LT-6408n Uwaga! Nowa wersja oprogramowania oznaczona numerem 1.03v jest przeznaczona tylko dla routerów mających współpracować z modemem

Bardziej szczegółowo

Jak zainstalować i skonfigurować komunikator MIRANDA, aby wyglądał i funkcjonował jak Gadu Gadu Tutorial by t800.

Jak zainstalować i skonfigurować komunikator MIRANDA, aby wyglądał i funkcjonował jak Gadu Gadu Tutorial by t800. Jak zainstalować i skonfigurować komunikator MIRANDA, aby wyglądał i funkcjonował jak Gadu Gadu Tutorial by t800. Wraz z niniejszym tutorialem, dołączone są 4 pliki (krok0, krok1, itd). Nie należy ich

Bardziej szczegółowo

Instalacja protokołu PPPoE

Instalacja protokołu PPPoE Instalacja protokołu PPPoE Uruchomienie PPPoE w systemie Windows XP za pomocą wbudowanego kreatora Uruchomienie PPPoE w systemach z rodziny Windows 98 Instrukcja oparta na powszechnie dostępnych w Internecie

Bardziej szczegółowo

Komunikacja z wykorzystaniem Protokołu TCP oraz funkcji AG_SEND/AG_RECV

Komunikacja z wykorzystaniem Protokołu TCP oraz funkcji AG_SEND/AG_RECV PoniŜszy dokument zawiera opis konfiguracji programu STEP7 dla sterowników Simatic S7-300/ S7-400, w celu stworzenia komunikacji pomiędzy dwoma stacjami S7-300 za pomocą sieci Industrial Ethernet protokołu

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR

Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR Cwiczenie nr 1 Pierwszy program w języku C na mikrokontroler AVR Zadanie polega na napisaniu pierwszego programu w języku C, jego poprawnej kompilacji i wgraniu na mikrokontroler. W tym celu należy zapoznać

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami

Bardziej szczegółowo

Tomasz Greszata - Koszalin

Tomasz Greszata - Koszalin T: Wirtualizacja systemu Linux Ubuntu w maszynie wirtualnej VirtualBox. Zadanie1. Odszukaj w serwisie internetowym dobreprogramy.pl informacje na temat programu Oracle VM VirtualBox. VirtualBox to oprogramowanie

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Sposoby tworzenia projektu zawierającego aplet w środowisku NetBeans. Metody zabezpieczenia komputera użytkownika przed działaniem apletu.

Sposoby tworzenia projektu zawierającego aplet w środowisku NetBeans. Metody zabezpieczenia komputera użytkownika przed działaniem apletu. Sposoby tworzenia projektu zawierającego aplet w środowisku NetBeans. Metody zabezpieczenia komputera użytkownika przed działaniem apletu. Dr inż. Zofia Kruczkiewicz Dwa sposoby tworzenia apletów Dwa sposoby

Bardziej szczegółowo

Aktualizacja oprogramowania sprzętowego bezprzewodowych pilotów zdalnego sterowania WR-1/WR-R10

Aktualizacja oprogramowania sprzętowego bezprzewodowych pilotów zdalnego sterowania WR-1/WR-R10 Aktualizacja oprogramowania sprzętowego bezprzewodowych pilotów zdalnego sterowania WR-1/WR-R10 Dziękujemy za wybór produktu Nikon. W tej instrukcji opisano sposób aktualizacji oprogramowania sprzętowego

Bardziej szczegółowo

Programator AVR MKII. Instrukcja obsługi. Copyright by Barion www.barion-st.com 2014-05-31

Programator AVR MKII. Instrukcja obsługi. Copyright by Barion www.barion-st.com 2014-05-31 2014 Programator AVR MKII Instrukcja obsługi www.barion-st.com 2014-05-31 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest AVR MKII?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 4 2. BUDOWA

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

1.1 Co to jest USBasp?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 3 1.4 Zawartość zestawu... 4

1.1 Co to jest USBasp?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 3 1.4 Zawartość zestawu... 4 2012 Programator AVR USBasp Instrukcja obsługi 2012-02-11 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest USBasp?... 3 1.2 Parametry techniczne... 3 1.3 Obsługiwane procesory... 3 1.4 Zawartość zestawu... 4

Bardziej szczegółowo

CoDeSys 3 programowanie w języku CFC

CoDeSys 3 programowanie w języku CFC Notatka Aplikacyjna NA 03003PL Spis treści 1. Wstęp... 2 1.1. Wymagania programowe... 2 2. Tworzenie projektu i dodawanie programu w... 3 3. Organizacja okien dla języka CFC... 5 4. Składnia języka CFC

Bardziej szczegółowo

1. Tworzenie nowego projektu.

1. Tworzenie nowego projektu. Załącznik do Instrukcji 1. Tworzenie nowego projektu. Wybieramy opcję z menu głównego New->QNX C Project. Wprowadzamy nazwę przechodzimy do następnego kroku NEXT. Wybieramy platformę docelową oraz warianty

Bardziej szczegółowo

ODCZYT PRZEZ ZŁĄCZE OBD

ODCZYT PRZEZ ZŁĄCZE OBD ODCZYT PRZEZ ZŁĄCZE OBD Zlokalizuj złącze diagnostyczne OBD pojazdu i podepnij właściwą wtyczkę dołączoną do zestawu New Genius: w menu głównym, wybierz WORK Vehicles Wybierz PRODUCENTA MODEL TYP Aby odnaleźć

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Aktualizacja systemu Android do wersji 4.4 dla smartfonów Kruger&Matz DRIVE 2 - KM0408, KM0414, SOUL - KM0409 oraz LIVE 2 - KM0410

Aktualizacja systemu Android do wersji 4.4 dla smartfonów Kruger&Matz DRIVE 2 - KM0408, KM0414, SOUL - KM0409 oraz LIVE 2 - KM0410 WAŻNE INFORMACJE! Aktualizacja systemu Android do wersji 4.4 dla smartfonów Kruger&Matz DRIVE 2 - KM0408, KM0414, SOUL - KM0409 oraz LIVE 2 - KM0410 Przeprowadzając aktualizację urządzenia, użytkownik

Bardziej szczegółowo

Instrukcja instalacji oraz konfiguracji sterowników. MaxiEcu 2.0

Instrukcja instalacji oraz konfiguracji sterowników. MaxiEcu 2.0 Instrukcja instalacji oraz konfiguracji sterowników. MaxiEcu 2.0 Wersja instrukcji 1.0.1 1 SPIS TREŚCI 1. Sprawdzenie wersji systemu Windows... 3 2. Instalacja sterowników interfejsu diagnostycznego...

Bardziej szczegółowo

Załącznik 1 instrukcje instalacji

Załącznik 1 instrukcje instalacji Załącznik 1 instrukcje instalacji W poniższym załączniku przedstawione zostaną instrukcje instalacji programów wykorzystanych w trakcie tworzenia aplikacji. Poniższa lista przedstawia spis zamieszczonych

Bardziej szczegółowo

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych.

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. 1. Przygotowanie środowiska programistycznego. Zajęcia będą

Bardziej szczegółowo

Czytnik kart pamięci 8w1, Conrad USB 2.0 Instrukcja obsługi

Czytnik kart pamięci 8w1, Conrad USB 2.0 Instrukcja obsługi Czytnik kart pamięci 8w1, Conrad USB 2.0 Instrukcja obsługi Nr produktu: 410037 Strona 1 z 5 Instalacja oprogramowania 1. Przed podpięciem czytnika Simflash Express do komputera musisz zainstalować oprogramowanie.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Informatyka I : Tworzenie projektu

Informatyka I : Tworzenie projektu Tworzenie nowego projektu w programie Microsoft Visual Studio 2013 Instrukcja opisuje w jaki sposób stworzyć projekt wykorzystujący bibliotekę winbgi2 w programie Microsoft Visual Studio 2013. 1. Otwórz

Bardziej szczegółowo

PRZETWORNIK USB - RS232

PRZETWORNIK USB - RS232 PRZETWORNIK USB - RS232 Przewodnik szybkiej instalacji Windows 7 DA-70159 Krok 1: Krok 2: Włożyć płytę CD ze sterownikiem do napędu CD-ROM Podłączyć urządzenie do portu USB w PC Jeśli jest dostępne połączenie

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie BDE: Menedżer Pojazdów PL+ Ewidencja Wyposażenia PL+ Spis treści: 1. Wstęp...

Bardziej szczegółowo

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85

Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 Instrukcja instalacji i konfiguracji Karty EDGE/GPRS SonyEricsson GC85 SPIS TREŚCI SPIS TREŚCI...2 WSTĘP...2 INSTRUKCJA INSTALACJI I KONFIGURACJI...3 SCHEMAT INSTALACJI KARTY SIM W SE GC85...3 INSTALACJA

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i Mikrokontrolery Dostęp do portów mikrokontrolera ATmega32 język C laboratorium: 10 autorzy: dr

Bardziej szczegółowo

Aplikacja do podpisu cyfrowego npodpis

Aplikacja do podpisu cyfrowego npodpis ABS Bank Spółdzielczy Aplikacja do podpisu cyfrowego npodpis (instrukcja użytkownika) Wersja 1.0 http://www.absbank.pl 1. Aplikacja do podpisu cyfrowego - npodpis Słownik pojęć: Aplikacja do podpisu cyfrowego

Bardziej szczegółowo

Ewidencja Wyposażenia PL+

Ewidencja Wyposażenia PL+ Ewidencja Wyposażenia PL+ Jak poprawnie skonfigurować uprawnienia systemowe Spis treści: 1. Wstęp 2. Systemy: Windows Vista,, 8, 8.1, 10... 2.1. Folder z bazą danych... 2.2. Folder z konfiguracją programu...

Bardziej szczegółowo

Aktualizacja oprogramowania sprzętowego bezprzewodowych pilotów zdalnego sterowania WR-R10

Aktualizacja oprogramowania sprzętowego bezprzewodowych pilotów zdalnego sterowania WR-R10 Aktualizacja oprogramowania sprzętowego bezprzewodowych pilotów zdalnego sterowania WR-R10 Dziękujemy za wybór produktu Nikon. W niniejszej instrukcji opisano sposób aktualizacji oprogramowania sprzętowego

Bardziej szczegółowo

INSTRUKCJA INSTALACJI DRUKARKI. (Dla Windows CP-D70DW/D707DW)

INSTRUKCJA INSTALACJI DRUKARKI. (Dla Windows CP-D70DW/D707DW) INSTRUKCJA INSTALACJI DRUKARKI (Dla Windows CP-D70DW/D707DW) Microsoft, Windows, Windows XP, Windows Vista i Windows 7 są zastrzeżonymi znakami towarowymi Microsoft Corporation w Stanach Zjednoczonych

Bardziej szczegółowo

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu MMfpga01 MMfpga11 Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu 1 Spis treści 1. Instalacja aplikacji QUARTUS II Web Edition...3 2. Instalacja programu QUARTUS II Web

Bardziej szczegółowo

PRZETWORNIK USB - RS232

PRZETWORNIK USB - RS232 PRZETWORNIK USB - RS232 Przewodnik szybkiej instalacji Windows 7 DA-70158 Krok 1: Krok 2: Włożyć płytę CD ze sterownikiem do napędu CD-ROM Podłączyć urządzenie do portu USB w PC Jeśli jest dostępne połączenie

Bardziej szczegółowo

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200

FAQ: 00000003/PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200 Za pomocą oprogramowania PC Access oraz programu Microsoft Excel moŝliwa jest prosta wizualizacja programów wykonywanych na sterowniku SIMATIC S7-200. PC Access umoŝliwia podgląd wartości zmiennych oraz

Bardziej szczegółowo

Załącznik 1 instrukcje instalacji

Załącznik 1 instrukcje instalacji Załącznik 1 instrukcje instalacji W poniższym załączniku przedstawione zostaną instrukcje instalacji programów wykorzystanych w trakcie tworzenia aplikacji. Poniższa lista przedstawia spis zamieszczonych

Bardziej szczegółowo

Aktualizacja oprogramowania sprzętowego przekaźnika bezprzewodowego WT 7

Aktualizacja oprogramowania sprzętowego przekaźnika bezprzewodowego WT 7 Aktualizacja oprogramowania sprzętowego przekaźnika bezprzewodowego WT 7 Dziękujemy za wybór produktu Nikon. W tej instrukcji opisano sposób aktualizacji oprogramowania sprzętowego przekaźnika bezprzewodowego

Bardziej szczegółowo

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Arkadiusz Pantoł MATERIAŁY POMOCNICZE DO KURSU TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME Obsługa środowiska Quartus Prime może byd kłopotliwa, jeżeli chodzi o stworzenie samego projektu.

Bardziej szczegółowo

Instrukcja programowania płytek edycji 2014

Instrukcja programowania płytek edycji 2014 Instrukcja programowania płytek edycji 2014 Spis treści 1. Opis płytki procesorowej (sumo_base_5)...1 1.1. Podstawowe elementy płytki...2 1.2. Pozostałe elementy płytki...3 2. Opis płytki sterującej napędami

Bardziej szczegółowo

Instrukcja instalacji oprogramowania. CardioScan 10, 11 i 12. w wersji 54a i 76a

Instrukcja instalacji oprogramowania. CardioScan 10, 11 i 12. w wersji 54a i 76a Instrukcja instalacji oprogramowania CardioScan 10, 11 i 12 w wersji 54a i 76a Sierpień 2012 Strona 2 Instrukcja Instalacji oprogramowania CardioScan 10, 11, 12 Instrukcja Instalacji oprogramowania CardioScan

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie Firebird: System Obsługi Zleceń PL+ Grafik Urlopowy PL+ Zarządzanie Szkoleniami

Bardziej szczegółowo

Instalacja PPPoE w systemie Windows 98SE i Me

Instalacja PPPoE w systemie Windows 98SE i Me Instalacja PPPoE w systemie Windows 98SE i Me Ilekroć w instrukcji mowa o systemie Win98 lub Win98SE chodzi o : Win98 (Windows 98) - pierwsza wersja systemu Windows 98 - dawno nie spotykana ale może się

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 www.and-tech.pl Strona 1 Zawartość Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2

Bardziej szczegółowo

INSTRUKCJA DO OPROGRAMOWANIA KOMPUTEROWEGO

INSTRUKCJA DO OPROGRAMOWANIA KOMPUTEROWEGO INSTRUKCJA DO OPROGRAMOWANIA KOMPUTEROWEGO DLA LEKKIEJ PŁYTY DO BADAŃ DYNAMICZNYCH HMP LFG WYMAGANE MINIMALNE PARAMETRY TECHNICZNE: SPRZĘT: - urządzenie pomiarowe HMP LFG 4 lub HMP LFG Pro wraz z kablem

Bardziej szczegółowo

ZESTAW LABORATORYJNY I ZESTAW FARMACEUTYCZNY : Instrukcja instalacji

ZESTAW LABORATORYJNY I ZESTAW FARMACEUTYCZNY : Instrukcja instalacji ZESTAW LABORATORYJNY I ZESTAW FARMACEUTYCZNY : Instrukcja instalacji Spis treści SPIS TREŚCI 2 1. INSTRUKCJA INSTALACJI I DEZINSTALACJI 3 1.1. Instalacja i konfiguracja wersji jednostanowiskowej 3 1.2.

Bardziej szczegółowo

Instrukcja obsługi programu DS150E. Dangerfield March. 2009V3.0 Delphi PSS

Instrukcja obsługi programu DS150E. Dangerfield March. 2009V3.0 Delphi PSS Instrukcja obsługi programu DS150E 1 SPIS TREŚCI Główne elementy... 3 Instrukcje instalacji.... 5 Konfiguracja Bluetooth.26 Program diagnostyczny...39 Zapis do ECU (OBD)...85 Skanowanie..88 Historia...93

Bardziej szczegółowo

Instrukcja instalacji BMW INPA do interfejsu BMW USB VIAKEN

Instrukcja instalacji BMW INPA do interfejsu BMW USB VIAKEN Instrukcja instalacji BMW INPA do interfejsu BMW USB VIAKEN 1.Zmienna środowiskowa Instalacje rozpoczynamy od zmiany ścieżki we właściwościach mój komputer w zakładce zaawansowane, zmienne środowiskowe

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 Strona 1 Zawartość 1. Instalacja... 3 2. Instalacja sterowników w trybie HID.... 3 3. Programowanie

Bardziej szczegółowo

Symfonia Produkcja Instrukcja instalacji. Wersja 2013

Symfonia Produkcja Instrukcja instalacji. Wersja 2013 Symfonia Produkcja Instrukcja instalacji Wersja 2013 Windows jest znakiem towarowym firmy Microsoft Corporation. Adobe, Acrobat, Acrobat Reader, Acrobat Distiller są zastrzeżonymi znakami towarowymi firmy

Bardziej szczegółowo

Moduł Handlowo-Magazynowy Przeprowadzanie inwentaryzacji z użyciem kolektorów danych

Moduł Handlowo-Magazynowy Przeprowadzanie inwentaryzacji z użyciem kolektorów danych Moduł Handlowo-Magazynowy Przeprowadzanie inwentaryzacji z użyciem kolektorów danych Wersja 3.77.320 29.10.2014 r. Poniższa instrukcja ma zastosowanie, w przypadku gdy w menu System Konfiguracja Ustawienia

Bardziej szczegółowo

Ćwiczenia 9: Zarządzanie konfiguracją Zadania:

Ćwiczenia 9: Zarządzanie konfiguracją Zadania: Ćwiczenia 9: Zarządzanie konfiguracją Zadania: Konfiguracja repozytorium CVS: 1. Ściągnij i zainstaluj serwer CVS: CVSNT (www.cvsnt.org). 2. W konfiguracji repozytoriów (Panel Sterowania -> CVSNT) wybierz

Bardziej szczegółowo

INSTRUKCJA WGRYWANIA OPROGRAMOWANIA DO URZĄDZENIA PARROT CK3100 LCD

INSTRUKCJA WGRYWANIA OPROGRAMOWANIA DO URZĄDZENIA PARROT CK3100 LCD INSTRUKCJA WGRYWANIA OPROGRAMOWANIA DO URZĄDZENIA PARROT CK3100 LCD 1. wchodzimy na stronę: http://www.parrot.com klikamy SUPPORT&DOWNLOADS -> Downloads (patrz zdjęcie niżej) 2. w sekcji Software updates

Bardziej szczegółowo

Instrukcja konfiguracji wybranych funkcji skanera Datalogic Heron HD3130

Instrukcja konfiguracji wybranych funkcji skanera Datalogic Heron HD3130 Instrukcja konfiguracji wybranych funkcji skanera Datalogic Heron HD3130 Uwagi: - w instrukcji do konfiguracji używany jest program: Datalogic Aladdin v1.11.0.0.0 oraz skaner Datalogic Heron HD3130 z softem

Bardziej szczegółowo

JDK 7u25 NetBeans 7.3.1 Zajęcia 1 strona - 1

JDK 7u25 NetBeans 7.3.1 Zajęcia 1 strona - 1 JDK 7u25 NetBeans 7.3.1 Zajęcia 1 strona - 1 Uwaga: INSTALACJA NOWEJ PLATFORMY JAVA SE Wygląd stron WWW pobieranych z serwera może być inny (aktualizacje), od tych pokazanych w instrukcji, ponieważ instrukcja

Bardziej szczegółowo

inode instalacja sterowników USB dla adaptera BT 4.0

inode instalacja sterowników USB dla adaptera BT 4.0 instalacja sterowników USB dla adaptera BT 4.0 2014 ELSAT 1. Instalowanie sterownika USB dla adaptera BT4.0 Oprogramowanie do obsługi inode na komputery PC z Windows wymaga współpracy z adapterem obsługującym

Bardziej szczegółowo

Edytor tekstu OpenOffice Writer Podstawy

Edytor tekstu OpenOffice Writer Podstawy Edytor tekstu OpenOffice Writer Podstawy OpenOffice to darmowy zaawansowany pakiet biurowy, w skład którego wchodzą następujące programy: edytor tekstu Writer, arkusz kalkulacyjny Calc, program do tworzenia

Bardziej szczegółowo

Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi. oprogramowania PC-LINK

Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi. oprogramowania PC-LINK Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi oprogramowania PC-LINK Do urządzenia VA18B została dołączona płyta CD zawierająca oprogramowanie PC-LINK, dzięki któremu moŝliwa jest komunikacja

Bardziej szczegółowo

WARIATOR WYPRZEDZENIA ZAPŁONU WARIATOR USTAWIENIA

WARIATOR WYPRZEDZENIA ZAPŁONU WARIATOR USTAWIENIA WARIATOR WYPRZEDZENIA ZAPŁONU WARIATOR USTAWIENIA 1. Podłączyć wariator do instalacji pojazdu według schematu. 2. Ustawić przełącznik nr 5 zgodnie z typem czujnika. 2.1. Niezałączony czujnik Halla ewentualnie

Bardziej szczegółowo

Instalowanie VHOPE i plików biblioteki VHOPE

Instalowanie VHOPE i plików biblioteki VHOPE Instalowanie VHOPE i plików biblioteki VHOPE Krok 1. Zainstaluj aplikację VHOPE Przed rozpoczęciem korzystania z materiałów prezentacyjnych znajdujących się na tym dysku USB należy zainstalować na komputerze

Bardziej szczegółowo

Instalacja Czytnika Kart GemPc Twin 1.4 dla przeglądarek 32 bitowych dla systemów Windows XP/Vista/2000/7/8 32 bity i 64 bity Wersja 1.

Instalacja Czytnika Kart GemPc Twin 1.4 dla przeglądarek 32 bitowych dla systemów Windows XP/Vista/2000/7/8 32 bity i 64 bity Wersja 1. Instalacja Czytnika Kart GemPc Twin 1.4 dla przeglądarek 32 bitowych dla systemów Windows XP/Vista/2000/7/8 32 bity i 64 bity Wersja 1.4 Spis treści: 1. Wymagania sprzętowe...2 2. Sprawdzenie oraz instalacja

Bardziej szczegółowo

INTERFEJS LPG/CNG FTDI USB INSTRUKCJA INSTALACJI ORAZ KONFIGURACJI URZĄDZENIA

INTERFEJS LPG/CNG FTDI USB INSTRUKCJA INSTALACJI ORAZ KONFIGURACJI URZĄDZENIA INTERFEJS LPG/CNG FTDI USB INSTRUKCJA INSTALACJI ORAZ KONFIGURACJI URZĄDZENIA wersja 1.0 http://www.projekt-tech.pl 1. Wymagania sprzętowe - komputer klasy PC z portem USB - system operacyjny Microsoft

Bardziej szczegółowo