MIKROPROCESORY architektura i programowanie

Wielkość: px
Rozpocząć pokaz od strony:

Download "MIKROPROCESORY architektura i programowanie"

Transkrypt

1 WEWNĘTRZNE UKŁADY PERYFERYJNE (µ-kontrolerów rodziny 51) nazwa jest trochę osobliwa, ale dobrze oddaje to, co jest najważniejszą cechą mikro-kontrolerów: jednoukładowość przy bogatym wyposażeniu Wyposażenie mikro-kontrolerów w przetworniki analogowo-cyfrowe i cyfrowo-analogowe można uznać za jedno z najważniejszych osiągnięć w ich rozwoju. Nasz przykładowy CISC: ADuC812 jest wyposażony w 8-mio kanałowy 12-to bitowy przetwornik analogowo-cyfrowy i dwa 12-to bitowe przetworniki cyfrowo-analogowe (DAC0 i DAC1). Dane z postaci cyfrowej można skonwertować do formy analogowej za pomocą wzmacniacza pracującego w trybie układu sumującego. Najczęściej wymienia się dwa układy dla tego typu konwersji. Układ (rys.1.) z zestawem oporników, których wartość stanowi wagę z jaką wartość odpowiadająca danemu bitowi wchodzi do sumy wyniku. Układ ten ma znaczne wady, głównie wynikające z potrzeby stosowania precyzyjnych oporników, o wartościach z szerokiego zakresu, gdy układ miałby być stosowany dla wielobitowych konwersji. Dla 12-bitowych danych największy opornik miałby wartość 2048 razy większą niż najmniejszy. Powszechnie stosuje się, przedstawiony na rys.2. układ drabinki R-2R. Rys. 1. Układ konwersji DAC z ważonymi opornikami Rys. 2. Układ konwersji DAC z drabinka R-2R 1/10

2 PRZETWORNIKI CYFROWO-ANALOGOWE (DAC). Przetworniki cyfrowo-analogowe w AduC812 pracują na tym samym napięciu odniesienia co ADC (opisane poniżej). Przetworniki te wykazują znany efekt nieliniowości przy końcach zakresu konwersji. Poza tymi niewielkimi zakresami ( po kilkadziesiąt mv) wykazują dobrą liniowość i stabilność. Konwersja wartości cyfrowej, ustawionej w odpowiednich rejestrach sterujących pracą przetworników, następuje natychmiastowo (w odróżnieniu od konwersji analog-cyfra, która jest stosunkowo powolnym procesem iteracyjnym). Dwa, w znacznym stopniu niezależne, DAC0 l DAC1 mogą być ustawiane niezależnie lub synchronicznie. 2/10

3 Przetworniki cyfrowo-analogowe (DAC) Nasz przykładowy mikro-kontroler AduC812 wyposażony jest w dwa przetworniki DAC. REJESTRY ZARZĄDZJĄCE PRACĄ DAC TO KONTROLNY REJESTR DACCON ORAZ REJESTRY DANYCH: DACCON rejestr SFR o adresie FDH MODE RNG1 RNG0 CLR1 CLR0 SYNC PD1 PD Bit MODE wybiera pracę DAC-ów jako 8-mio bitowe (MODE=1) lub 12-to bitowe. Bity RNG wybierają zakres sygnałów wyjściowych dla każdego DAC z osobna. RNG = 1 wybiera zakres 0 Vdd (napięcie zasilania), RNG = 0 wybiera zakres 0 Vref. CLR powinny być ustawione na 1 dla normalnej pracy DAC. Wartość zero forsuje zero sygnału na wyjściu. SYNC ustawiony na 1 oznacza, że sygnał wyjściowy pojawia się natychmiast po ustawieniu młodszego rejestru danych (DAC0L lub DAC1L). Jest to praca asynchroniczna. Gdy chcemy, by analogowe sygnały wyjściowe pojawiły się równocześnie, wtedy bit SYNC ustawiamy na zero. Wtedy wpisanie wartości do DAC0L ani do DAC1L nie wyzwala ustawienia wyjścia. Dopiero postawienie bitu SYNC na 1 wyznacza moment jednoczesnego ustawienia analogowych linii wyjściowych procesora. Rejestry DAC0H i DAC1H służą oczywiście do wpisywania czterech starszych bitów danych i powinny, przy pracy asynchronicznej być wypełniane PRZED wpisaniem wartości do DAC0L/1L. 3/10

4 Przetworniki analogowo-cyfrowe - (ADC) Istnieje wiele metod konwersji analogowo-cyfrowych. Wśród najczęściej stosowanych wylicza się metodę bezpośrednią (flash ADC), metodę kolejnych przybliżeń, metody całkowe oraz tzw. metodę Sigma-Delta. Wspólnym dla różnych technik konwersji, jest zapewnienie niezakłóconego próbkowania sygnału analogowego oraz zapewnienie jego stabilności na czas przeprowadzania konwersji. Tę stabilność realizuje się często przez włączenie w układ konwertera bloku S/H sample and hold (pobierz i przechowaj). Przetworniki ADC w mikro-kontrolerach ADuC812 wykorzystują technikę kolejnych przybliżeń. Konwersja ta opiera się na porównywaniu sygnału analogowego z przetworzonym przez wewnętrzny DAC cyfrowym przybliżeniem generowanym krok po kroku przez zegar napełniający rejestr przybliżenia, SAR. W pierwszym kroku DAC ładuje się najstarszym bitem. Bit pozostaje ustawiony, gdy wyjście z DAC nie przekracza sygnału. W przeciwnym razie bit jest zerowany. W następnych taktach ustawiane (oraz ewentualnie zerowane) są kolejne bity. Liczba taktów zegara potrzebnych dla pełnej konwersji nieznacznie przekracza liczbę bitów przetwornika. Schemat blokowy ADC pracującego techniką kolejnych przybliżeń 4/10

5 Schematyczny układ przetwornika analogowo-cyfrowego z ADuC812 przedstawia rysunek (wzięty, jak część rysunków w tych notatkach z Data Sheet for AduC812, Rev E. Konwersja sygnału analogowego, podawanego na linię wejściową procesora, sterowna jest zegarem, którego częstotliwość wynika z częstości zegara procesora i dzielnika, wybieranego programowo. Zalecany zakres częstotliwości pracy ADC: 400kHz 4 MHz (ADuC812). Przełączaniem kanałów steruje program, odnosząc się do odpowiednich rejestrów SFR. Niewielka pojemność wejściowa, reprezentowana na rysunku kondensatorem 2 pf, może wnosić pewien błąd pomiarowy przy szybkim przełączaniu kanałów. Przy zalecanym zakresie częstotliwości i zapewnieniu małej oporności wyjściowej źródła (poniżej 60 Ω) błąd jest zaniedbywalny. Jeśli warunek małej stałej czasowej jest niezachowany, to ograniczenie szybkości przełączania należy do programisty. 5/10

6 Zdolność rozdzielczą ADC wyznacza liczba bitów wyniku konwersji oraz napięcie odniesienia (referencja). Maksymalny sygnał analogowy, który może zostać poprawnie przetworzony na wartość cyfrową jest równy napięciu referencyjnemu, a jego przetworzona wartość to maksymalny integer mieszczący się w rejestrze wyniku. Dla ADuC812 wewnętrzne napięcie referencji wynosi 2.5 V. Taki jest więc maksymalny sygnał, który może być przetworzony ma wartość 4095, a najmniejsza mierzalna różnica między dwoma sygnałami wynosi 0.61mV. ADuC812 może pracować także z zewnętrznym źródłem referencji. Jego wartość, większa od 2.3V, nie może przekraczać napięcia zasilania. Idealna, liniowa relacja analog-cyfra może być obarczona błędami. Producent ADuC812 wprowadził automatyczną kalibrację, dzięki której eliminuje się błąd przesunięcia zera i błąd nachylenia. 6/10

7 REJESTRY STERUJĄCE PRACĄ ADC: MIKROPROCESORY architektura i programowanie ADCCON1 (ADC Control #1) to rejestr SFR o adresie EFH. MD1 MD0 CK1 CK0 AQ1 AQ0 T2C EXC Para bitów MD1 MD0 kontroluje zasilanie obwodów ADC, para CK1, CK0 wyznacza dzielnik zegara, para AQ1, AQ0 wyznacza liczbę cykli zegara ADC, którą dodatkowo przeznaczamy na czas akwizycji sygnału wejściowego (zwykle wystarcza 1). MD1 MD0 CK1 CK0 dzielnik zegara 0 0 Obwody ADC nie są zasilane Normalna praca ADC nie zasilane jeśli nie biegnie konwersja Stan uśpienia (W stanie uśpienia napięcie referencyjne jest utrzymywane, a wyłączone są pozostałe obwody ADC) AQ1 AQ0 liczba extra cykli Bit T2C (ADCCON.1) może być ustawiony przez programistę. Ustawiony oznacza zezwolenie na użycie licznika T2 dla wyzwalania procesu kon wersji. Konwersja startuje przy DRUGIM przepeł nieniu licznika. Bit EXC jest ustawiany również przez programistę i ustawienie zezwala na użycie sygnału doprowadzanego do linii procesora CONVST jako wyzwalania konwersji (active low, jak zwykle). 7/10

8 REJESTRY STERUJĄCE PRACĄ ADC: ADCCON2 (ADC Control #2) to rejestr SFR o adresie D8H. ADCI DMA CCONV SCONV CS3 CS2 CS1 CS Bity CS3 CS0 ustawiają numer kanału dla przyszłej konwersji: CS3 CS2 CS1 CS0 Wybrany kanał Bit ADCI to wskaźnik przerwania, który jest ustawiany, gdy konwersja jest zakończona. Używany gdy decydujemy się na pracę ADC w trybie przerwań pomiar temperatury układu wstrzymuje pracę DMA Pozostałe konfiguracje bitów nie są używane. Ustawienie bitu DMA zezwala na pracę ADC w trybie Direct Memory Access, który zwłaszcza przy żądaniu konwersji ciągłej zezwala na akwizycję pomiarów bez obciążania procesora. Praca DMA zostanie omówiona w przyszłych wykładach. CCONV ustawia programista i zezwala na pracę ADC trybie konwersji ciągłej po zakończeniu jednego procesu konwersji, startuje następny. Wyzwalanie konwersji zależy od innych ustawień. Ustawienie SCONV inicjuje pojedynczą konwersję. Bit jest zerowany sprzętowo po zakończeniu konwersji. 8/10

9 REJESTRY STERUJĄCE PRACĄ ADC: ADCCON3 (ADC Control #3) to rejestr SFR o adresie F5H. BUSY RSVD RSVD RSVD RSVD RSVD RSVD RSVD Ten rejestr nie jest zbyt bogaty. Bit BUSY jest jedynie do czytania. Jest ustawiany automatycznie (sprzętowo) w trakcie konwersji lub kalibracji i także automatycznie zerowany po zakończeniu konwersji lub kalibracji. Wyniki konwersji znajdują się w ADCDATAH i ADCDATAL, rejestrach SFR. Wynik konwersji jest 12-bitowy. Cztery najstarsze bity znajdują się na pozycjach ADCTADAH.3 ADCDATAH.0 a pozostałe w rejestrze ADCDATAL. UWAGA! Na pozycjach ADCDATAH.7 ADCDATAH.4 automatycznie, po pomiarze znajduje się numer kanału ADC, z którego pomiar pochodzi. ADuC812 ma wbudowaną automatyczną funkcję kalibracyjną, która uruchamia się po włączeniu zasilania. Programista ma dostęp do dwóch parametrów kalibracyjnych i może je zmieniać, jeśli uważa, że jego wartości są lepsze. Producent dostarcza kodu procedury i można go zastosować dla własnej kalibracji lub zmienić. Parametry kalibracyjne dostępne dla programisty to 14-bitowe wartości umieszczone w następujących rejestrach: ADCGAINH (zawiera 6 starszych bitów parametru korygującego nachylenie prostej odnoszącej sygnał do wartości odczytu), ADCGAINL pozostałe 8 bitów tego parametru. ADCOFSH i ADCOFSL to rejestry zawierające 14-bitową wartość parametru korygującego punkt przecięcia prostej z początkiem układu współrzędnych. 9/10

10 PRZYKŁAD PROGRAMU używającego ADC w w trybie pojedynczej konwersji, bez zezwolenia na przerwania. USTAWIENIA WSTĘPNE: MOV ADCCON1,# B ;ADC normal, clk div 4, aq 0, no T2, no EXT PRZYGOTOWNIE KONWERSJI: MOV ADCCON2,#02H ;wybranie kanału 2 SETB SCONV JB SCONV,$ ;czekaj na zakończenie konwersji. Pierwsza zła. SETB SCONV JB SCONV,$ ;OK PRZETWORZENIE WYNIKU: MOV WYNIKH,ADCDATAH MOV WYNIKL,ADCDATAL ANL WYNIKH,#0FH ; wymaskuj numer kanału DYSKUSJA: W przykładzie pokazano użycie ADC BEZ zezwolenia na przerwania. Wynika to stąd, że konwersja, która w przypadku tego procesora zajmuje ok. 17 cykli zegara ADC, czyli pojedyncze mikrosekundy. Obsługa przerwania byłaby w tym przypadku nieuzasadniona. Na pewną przykładową przestrogę wskazuje użycie dwóch następujących po sobie konwersji. Otóż producent procesora podał w informacjach o błędach, że w przypadku konwersji następującej po czasie dłuższym niż 200 ms od ostatnio przeprowadzonej wynik jest niepoprawny i należy go powtórzyć! 10/10

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM Strona 1 z 7 Opracował mgr inż. Jacek Lis (c) ZNE 2004 1.Budowa przetwornika ADC procesora

Bardziej szczegółowo

Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe

Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe Przetworniki cyfrowo / analogowe W cyfrowych systemach pomiarowych często zachodzi konieczność zmiany sygnału cyfrowego na analogowy, np. w celu

Bardziej szczegółowo

Struktury specjalizowane wykorzystywane w mikrokontrolerach

Struktury specjalizowane wykorzystywane w mikrokontrolerach Struktury specjalizowane wykorzystywane w mikrokontrolerach Przetworniki analogowo-cyfrowe i cyfrowoanalogowe Interfejsy komunikacyjne Zegary czasu rzeczywistego Układy nadzorujące Układy generacji sygnałów

Bardziej szczegółowo

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

Przetworniki analogowo-cyfrowe (A/C)

Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe to urządzenia, przetwarzające ciągły analogowy sygnał wejściowy jedno wejście na odpowiadający mu dyskretny cyfrowy sygnał wyjściowy

Bardziej szczegółowo

Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1.

Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1. Dodatek D 1. Przetwornik analogowo-cyfrowy 1.1. Schemat blokowy Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1. Rys. 1. Schemat blokowy przetwornika A/C Przetwornik

Bardziej szczegółowo

Zastosowania mikrokontrolerów w przemyśle

Zastosowania mikrokontrolerów w przemyśle Zastosowania mikrokontrolerów w przemyśle Cezary MAJ Katedra Mikroelektroniki i Technik Informatycznych Współpraca z pamięciami zewnętrznymi Interfejs równoległy (szyna adresowa i danych) Multipleksowanie

Bardziej szczegółowo

ĆWICZENIE nr 3. Badanie podstawowych parametrów metrologicznych przetworników analogowo-cyfrowych

ĆWICZENIE nr 3. Badanie podstawowych parametrów metrologicznych przetworników analogowo-cyfrowych Politechnika Łódzka Katedra Przyrządów Półprzewodnikowych i Optoelektronicznych WWW.DSOD.PL LABORATORIUM METROLOGII ELEKTRONICZNEJ ĆWICZENIE nr 3 Badanie podstawowych parametrów metrologicznych przetworników

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Systematyczny przegląd. (CISC) SFR umieszczane są w wewnętrznej pamięci danych (80H 0FFH). Adresowanie wyłącznie bezpośrednie. Rejestry o adresach podzielnych przez 8 są też dostępne bitowo. Adres n-tego

Bardziej szczegółowo

Programowanie mikrokontrolerów. 8 listopada 2007

Programowanie mikrokontrolerów. 8 listopada 2007 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 8 listopada 2007 Alfanumeryczny wyświetlacz LCD umożliwia wyświetlanie znaków ze zbioru będącego rozszerzeniem ASCII posiada zintegrowany sterownik

Bardziej szczegółowo

MIKROKONTROLERY I MIKROPROCESORY

MIKROKONTROLERY I MIKROPROCESORY PLAN... work in progress 1. Mikrokontrolery i mikroprocesory - architektura systemów mikroprocesorów ( 8051, AVR, ARM) - pamięci - rejestry - tryby adresowania - repertuar instrukcji - urządzenia we/wy

Bardziej szczegółowo

Research & Development Ultrasonic Technology / Fingerprint recognition

Research & Development Ultrasonic Technology / Fingerprint recognition Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS & OPKO http://www.optel.pl email: optel@optel.pl Przedsiębiorstwo Badawczo-Produkcyjne OPTEL Spółka z o.o. ul. Otwarta

Bardziej szczegółowo

Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Zasada pracy przetwornika A/C

Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Zasada pracy przetwornika A/C Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ Zrozumienie zasady działania przetwornika analogowo-cyfrowego. Poznanie charakterystyk przetworników ADC0804 i ADC0809. Poznanie aplikacji układów ADC0804

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

2. PORTY WEJŚCIA/WYJŚCIA (I/O)

2. PORTY WEJŚCIA/WYJŚCIA (I/O) 2. PORTY WEJŚCIA/WYJŚCIA (I/O) 2.1 WPROWADZENIE Porty I/O mogą pracować w kilku trybach: - przesyłanie cyfrowych danych wejściowych i wyjściowych a także dla wybrane wyprowadzenia: - generacja przerwania

Bardziej szczegółowo

Przetworniki cyfrowo-analogowe C-A CELE ĆWICZEŃ PODSTAWY TEORETYCZNE

Przetworniki cyfrowo-analogowe C-A CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Przetworniki cyfrowo-analogowe C-A CELE ĆWICZEŃ Zrozumienie zasady działania przetwornika cyfrowo-analogowego. Poznanie podstawowych parametrów i działania układu DAC0800. Poznanie sposobu generacji symetrycznego

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Scalony analogowy sterownik przekształtników impulsowych MCP1630

Scalony analogowy sterownik przekształtników impulsowych MCP1630 Scalony analogowy sterownik przekształtników impulsowych MCP1630 DRV CFB VFB 1. Impuls zegara S=1 R=0 Q=0, DRV=0 (przez bramkę OR) 2. Koniec impulsu S=0 R=0 Q=Q 1=0 DRV=1 3. CFB > COMP = f(vfb VREF) S=0

Bardziej szczegółowo

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r.

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r. Sprawozdanie z projektu MARM Część druga Specyfikacja końcowa Prowadzący: dr. Mariusz Suchenek Autor: Dawid Kołcz Data: 01.02.16r. 1. Temat pracy: Układ diagnozujący układ tworzony jako praca magisterska.

Bardziej szczegółowo

Obsługa przetwornika ADC na mikrokontrolerze ATmega8 CEZARY KLIMASZ OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8

Obsługa przetwornika ADC na mikrokontrolerze ATmega8 CEZARY KLIMASZ OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8 OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8 Opracowanie zawiera treści różnych publikacji takich jak: książki, datasheety, strony internetowe Cezary Klimasz Kraków 2008 1 Spis treści 1. Wprowadzenie...

Bardziej szczegółowo

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 Układ PCF 8583 jest pobierającą małą moc, 2048 bitową statyczną pamięcią CMOS RAM o organizacji 256 x 8 bitów. Adresy i dane są przesyłane szeregowo

Bardziej szczegółowo

Przykładowe zadanie praktyczne

Przykładowe zadanie praktyczne Przykładowe zadanie praktyczne Opracuj projekt realizacji prac związanych z uruchomieniem i testowaniem kodera i dekodera PCM z układem scalonym MC 145502 zgodnie z zaleceniami CCITT G.721 (załączniki

Bardziej szczegółowo

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY PRZETWORIKI C / A PODSTAWOWE PARAMETRY Rozdzielczość przetwornika C/A - Określa ją liczba - bitów słowa wejściowego. - Definiuje się ją równieŝ przez wartość związaną z najmniej znaczącym bitem (LSB),

Bardziej szczegółowo

Architektura przetworników A/C. Adam Drózd

Architektura przetworników A/C. Adam Drózd Architektura przetworników A/C Adam Drózd Rozdział 1 Architektura przetworników A/C Rozwój techniki cyfrowej spowodował opacownie wielu zasad działania i praktycznych rozwiązań przetworników analogowo

Bardziej szczegółowo

ĆWICZENIE 15 BADANIE WZMACNIACZY MOCY MAŁEJ CZĘSTOTLIWOŚCI

ĆWICZENIE 15 BADANIE WZMACNIACZY MOCY MAŁEJ CZĘSTOTLIWOŚCI 1 ĆWICZENIE 15 BADANIE WZMACNIACZY MOCY MAŁEJ CZĘSTOTLIWOŚCI 15.1. CEL ĆWICZENIA Celem ćwiczenia jest poznanie podstawowych właściwości wzmacniaczy mocy małej częstotliwości oraz przyswojenie umiejętności

Bardziej szczegółowo

Imię i nazwisko (e mail) Grupa:

Imię i nazwisko (e mail) Grupa: Wydział: EAIiE Kierunek: Imię i nazwisko (e mail) Rok: Grupa: Zespół: Data wykonania: LABORATORIUM METROLOGII Ćw. 12: Przetworniki analogowo cyfrowe i cyfrowo analogowe budowa i zastosowanie. Ocena: Podpis

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

Przetworniki A/C i C/A w systemach mikroprocesorowych

Przetworniki A/C i C/A w systemach mikroprocesorowych Przetworniki A/C i C/A w systemach mikroprocesorowych 1 Przetwornik A/C i C/A Przetworniki analogowo-cyfrowe (A/C) i cyfrowoanalogowe (C/A) to układy elektroniczne umożliwiające przesyłanie informacji

Bardziej szczegółowo

APPLICATION OF ADUC MICROCONTROLLER MANUFACTURED BY ANALOG DEVICES FOR PRECISION TENSOMETER MEASUREMENT

APPLICATION OF ADUC MICROCONTROLLER MANUFACTURED BY ANALOG DEVICES FOR PRECISION TENSOMETER MEASUREMENT Sławomir Marczak - IV rok Koło Naukowe Techniki Cyfrowej dr inż. Wojciech Mysiński - opiekun naukowy APPLICATION OF ADUC MICROCONTROLLER MANUFACTURED BY ANALOG DEVICES FOR PRECISION TENSOMETER MEASUREMENT

Bardziej szczegółowo

2.1 Porównanie procesorów

2.1 Porównanie procesorów 1 Wstęp...1 2 Charakterystyka procesorów...1 2.1 Porównanie procesorów...1 2.2 Wejścia analogowe...1 2.3 Termometry cyfrowe...1 2.4 Wyjścia PWM...1 2.5 Odbiornik RC5...1 2.6 Licznik / Miernik...1 2.7 Generator...2

Bardziej szczegółowo

Podstawy elektroniki i metrologii

Podstawy elektroniki i metrologii Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI Katedra Metrologii i Optoelektroniki Podstawy elektroniki i metrologii Studia I stopnia kier. Informatyka semestr 2 Ilustracje do

Bardziej szczegółowo

Przetwornik analogowo-cyfrowy

Przetwornik analogowo-cyfrowy Przetwornik analogowo-cyfrowy Przetwornik analogowo-cyfrowy A/C (ang. A/D analog to digital; lub angielski akronim ADC - od słów: Analog to Digital Converter), to układ służący do zamiany sygnału analogowego

Bardziej szczegółowo

Przetwarzanie A/C i C/A

Przetwarzanie A/C i C/A Przetwarzanie A/C i C/A Instrukcja do ćwiczenia laboratoryjnego opracował: Łukasz Buczek 05.2015 Rev. 204.2018 (KS) 1 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z przetwornikami: analogowo-cyfrowym

Bardziej szczegółowo

PRAWO OHMA DLA PRĄDU PRZEMIENNEGO. Instrukcja wykonawcza

PRAWO OHMA DLA PRĄDU PRZEMIENNEGO. Instrukcja wykonawcza ĆWICZENIE 53 PRAWO OHMA DLA PRĄDU PRZEMIENNEGO Instrukcja wykonawcza 1 Wykaz przyrządów a. Generator AG 1022F. b. Woltomierz napięcia przemiennego. c. Miliamperomierz prądu przemiennego. d. Zestaw składający

Bardziej szczegółowo

Przetworniki AC i CA

Przetworniki AC i CA KATEDRA INFORMATYKI Wydział EAIiE AGH Laboratorium Techniki Mikroprocesorowej Ćwiczenie 4 Przetworniki AC i CA Cel ćwiczenia Celem ćwiczenia jest poznanie budowy i zasady działania wybranych rodzajów przetworników

Bardziej szczegółowo

Przetwarzanie AC i CA

Przetwarzanie AC i CA 1 Elektroniki Elektroniki Elektroniki Elektroniki Elektroniki Katedr Przetwarzanie AC i CA Instrukcja do ćwiczenia laboratoryjnego opracował: Łukasz Buczek 05.2015 1. Cel ćwiczenia 2 Celem ćwiczenia jest

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

Karta katalogowa V E3XB. Moduł wejść/wyjść Snap. 18 (podzielone na dwie grupy) Typ wejść

Karta katalogowa V E3XB. Moduł wejść/wyjść Snap. 18 (podzielone na dwie grupy) Typ wejść Karta katalogowa V200-18-E3XB Moduł wejść/wyjść Snap Specyfikacja techniczna Wejścia cyfrowe Liczba wejść 18 (podzielone na dwie grupy) Typ wejść Tranzystorowe typu pnp (źródło) lub npn (dren) Nominalne

Bardziej szczegółowo

Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865

Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865 Dodatek do instrukcji Ćwiczenia 8 Laboratorium AiCUE Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865 Literatura: - nota katalogowa fotodiody BPW34 - nota katalogowa przetwornika

Bardziej szczegółowo

Programowanie w językach asemblera i C

Programowanie w językach asemblera i C Programowanie w językach asemblera i C Mariusz NOWAK Programowanie w językach asemblera i C (1) 1 Dodawanie dwóch liczb - program Napisać program, który zsumuje dwie liczby. Wynik dodawania należy wysłać

Bardziej szczegółowo

1. Zasilacz mocy AC/ DC programowany 1 sztuka. 2. Oscyloskop cyfrowy z pomiarem - 2 sztuki 3. Oscyloskop cyfrowy profesjonalny 1 sztuka

1. Zasilacz mocy AC/ DC programowany 1 sztuka. 2. Oscyloskop cyfrowy z pomiarem - 2 sztuki 3. Oscyloskop cyfrowy profesjonalny 1 sztuka WYMAGANIA TECHNICZNE Laboratoryjne wyposażenie pomiarowe w zestawie : 1. Zasilacz mocy AC/ DC programowany 1 sztuka 2. Oscyloskop cyfrowy z pomiarem - 2 sztuki 3. Oscyloskop cyfrowy profesjonalny 1 sztuka

Bardziej szczegółowo

Układ pomiarowy CoachLab II

Układ pomiarowy CoachLab II Układ pomiarowy CoachLab II Warszawa, 2003 Wprowadzenie CoachLab II jest wielofunkcyjnym układem pomiarowym, który posiada szerokie możliwości w zakresie wykonywania pomiarów wspomaganych komputerowo i

Bardziej szczegółowo

Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI. Katedra Metrologii i Optoelektroniki. Metrologia. Ilustracje do wykładu

Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI. Katedra Metrologii i Optoelektroniki. Metrologia. Ilustracje do wykładu Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI Katedra Metrologii i Optoelektroniki Metrologia Studia I stopnia, kier Elektronika i Telekomunikacja, sem. 2 Ilustracje do wykładu

Bardziej szczegółowo

Interfejs analogowy LDN-...-AN

Interfejs analogowy LDN-...-AN Batorego 18 sem@sem.pl 22 825 88 52 02-591 Warszawa www.sem.pl 22 825 84 51 Interfejs analogowy do wyświetlaczy cyfrowych LDN-...-AN zakresy pomiarowe: 0-10V; 0-20mA (4-20mA) Załącznik do instrukcji obsługi

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

OPBOX ver USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych ze

OPBOX ver USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych ze OPBOX ver 2.0 - USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych ze OPBOX ver 2.0 - USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych Charakterystyka OPBOX 2.0 wraz z dostarczanym oprogramowaniem

Bardziej szczegółowo

ĆWICZENIE. TEMAT: OBSŁUGA PRZETWORNIKA A/C W ukontrolerze 80C535 KEILuVISON

ĆWICZENIE. TEMAT: OBSŁUGA PRZETWORNIKA A/C W ukontrolerze 80C535 KEILuVISON ĆWICZENIE TEMAT: OBSŁUGA PRZETWORNIKA A/C W ukontrolerze 80C535 KEILuVISON Wiadomości wstępne: Wszystkie sygnały analogowe, które mają być przetwarzane w systemach mikroprocesorowych są próbkowane, kwantowane

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Cel ćwiczenia: Głównym celem ćwiczenia jest nauczenie się obsługi klawiatury. Klawiatura jest jednym z urządzeń wejściowych i prawie zawsze występuje

Bardziej szczegółowo

PROGRAMOWANIE PWM. Porty, które mogą być zamienione na PWM w każdym module RaT16 to port 3,4,5,6

PROGRAMOWANIE PWM. Porty, które mogą być zamienione na PWM w każdym module RaT16 to port 3,4,5,6 PROGRAMOWANIE PWM Cztery wyjścia portów cyfrowych Modułu RaT16 można przełączyć (każde oddzielnie) w tryb pracy PWM. Ustawień dokonuje się poprzez przeglądarkę na stronie Moduły rozszerzeń. Prąd wyjściowy

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie SYSTEM PRZERWAŃ (dla µ-kontrolerów rodziny 51) pomysł przerwań zewnętrznych i programowych to kolejny, genialny fundament konstrukcji procesorów cyfrowych Naturalnie sekwencyjne wykonywanie programu może

Bardziej szczegółowo

PRZETWORNIKI A/C I C/A.

PRZETWORNIKI A/C I C/A. Przetworniki A/C i C/A 0 z 8 PRACOWNIA ENERGOELEKTRONICZNA w ZST Radom 2006/2007 PRZETWORNIKI A/C I C/A. Przed wykonaniem ćwiczenia powinieneś znać odpowiedzi na 4 pierwsze pytania i polecenia. Po wykonaniu

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

KAŻDY Z 8-MIO BITOWYCH PORTÓW MIKROKONTROLERÓW RODZINY 51 MA JEDYNIE REJESTR PORTU: P0, P1, P2, P3, PEŁNIĄ ONE ROLĘ REJESTRÓW DANYCH WE/WY.

KAŻDY Z 8-MIO BITOWYCH PORTÓW MIKROKONTROLERÓW RODZINY 51 MA JEDYNIE REJESTR PORTU: P0, P1, P2, P3, PEŁNIĄ ONE ROLĘ REJESTRÓW DANYCH WE/WY. - Port to grupa (8, 16, 32 ) linii wyprowadzeń, które pełnią rolę wyjść/wejść mikrokontrolera. - Jako grupa mają wspólne rejestry, ale zwykle każda z linii ma swoją indywidualną rolę. - Zwykle poszczególne

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikrokontrolery i Mikroprocesory Przetwornik ADC laboratorium: 04 autor: mgr inż. Katarzyna Smelcerz Kraków, 2016

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

Przetworniki A/C. Ryszard J. Barczyński, 2010 2015 Materiały dydaktyczne do użytku wewnętrznego

Przetworniki A/C. Ryszard J. Barczyński, 2010 2015 Materiały dydaktyczne do użytku wewnętrznego Przetworniki A/C Ryszard J. Barczyński, 2010 2015 Materiały dydaktyczne do użytku wewnętrznego Parametry przetworników analogowo cyfrowych Podstawowe parametry przetworników wpływające na ich dokładność

Bardziej szczegółowo

cennik detaliczny , ,- seria wzmacniacz zintegrowany 1010 odtwarzacz CD

cennik detaliczny , ,- seria wzmacniacz zintegrowany 1010 odtwarzacz CD Exposure - cennik detaliczny 09.2017 cennik detaliczny.. seria 1010 1010 wzmacniacz 2 790,- Maksymalna moc wyjściowa (1 KHz): 50W na kanał RMS (8 Ohm) Czułość wejść liniowych: 250mV Impedancja wejściowa:

Bardziej szczegółowo

Poradnik programowania procesorów AVR na przykładzie ATMEGA8

Poradnik programowania procesorów AVR na przykładzie ATMEGA8 Poradnik programowania procesorów AVR na przykładzie ATMEGA8 Wersja 1.0 Tomasz Pachołek 2017-13-03 Opracowanie zawiera opis podstawowych procedur, funkcji, operatorów w języku C dla mikrokontrolerów AVR

Bardziej szczegółowo

ASTOR IC200ALG320 4 wyjścia analogowe prądowe. Rozdzielczość 12 bitów. Kod: B8. 4-kanałowy moduł ALG320 przetwarza sygnały cyfrowe o rozdzielczości 12

ASTOR IC200ALG320 4 wyjścia analogowe prądowe. Rozdzielczość 12 bitów. Kod: B8. 4-kanałowy moduł ALG320 przetwarza sygnały cyfrowe o rozdzielczości 12 2.11 MODUŁY WYJŚĆ ANALOGOWYCH IC200ALG320 4 wyjścia analogowe prądowe, rozdzielczość 12 bitów IC200ALG321 4 wyjścia analogowe napięciowe (0 10 VDC), rozdzielczość 12 bitów IC200ALG322 4 wyjścia analogowe

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

Układy transmisji przewodowej. na przykładzie USB

Układy transmisji przewodowej. na przykładzie USB Układy transmisji przewodowej na przykładzie USB 1 Standardy 2 Standardy USB 1.1: Low Speed (LS) 1,5 Mb/s, Full Speed (FS)12 Mb/s USB 2.0: High Speed (HS) 480 Mb/s USB 3.0: Super Speed (SS) 5 Gb/s, dupleks

Bardziej szczegółowo

Liniowe układy scalone. Elementy miernictwa cyfrowego

Liniowe układy scalone. Elementy miernictwa cyfrowego Liniowe układy scalone Elementy miernictwa cyfrowego Wielkości mierzone Czas Częstotliwość Napięcie Prąd Rezystancja, pojemność Przesunięcie fazowe Czasomierz cyfrowy f w f GW g N D L start stop SB GW

Bardziej szczegółowo

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych Komputerowe systemy pomiarowe Dr Zbigniew Kozioł - wykład Mgr Mariusz Woźny laboratorium Wykład III Podstawowe elementy sprzętowe elektronicznych układów pomiarowych 1 - Linearyzatory, wzmacniacze, wzmacniacze

Bardziej szczegółowo

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Instytut Informatyki Politechnika Poznańska 12 bitowy przetwornik ADC Metoda SAR (ang. successive approximation) Konfigurowalna rozdzielczość: 12b, 10b, 8b,6b Do 19 kanałów analogowych pomiary z 16 źródeł

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Przetworniki A/C i C/A w systemach mikroprocesorowych

Przetworniki A/C i C/A w systemach mikroprocesorowych Przetworniki A/C i C/A w systemach mikroprocesorowych 1 Przetwornik A/C i C/A Przetworniki analogowo-cyfrowe (A/C) i cyfrowoanalogowe (C/A) to układy elektroniczne umożliwiające przesyłanie informacji

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

CYKL ROZKAZOWY = 1 lub 2(4) cykle maszynowe

CYKL ROZKAZOWY = 1 lub 2(4) cykle maszynowe MIKROKONTROLER RODZINY MCS 5 Cykl rozkazowy mikrokontrolera rodziny MCS 5 Mikroprocesory rodziny MCS 5 zawierają wewnętrzny generator sygnałów zegarowych ustalający czas trwania cyklu zegarowego Częstotliwość

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

Programowany układ czasowy APSC

Programowany układ czasowy APSC Programowany układ czasowy APSC Ośmiobitowy układ czasowy pracujący w trzech trybach. Wybór trybu realizowany jest przez wartość ładowaną do wewnętrznego rejestru zwanego słowem sterującym. Rejestr ten

Bardziej szczegółowo

Ćw. 7 Przetworniki A/C i C/A

Ćw. 7 Przetworniki A/C i C/A Ćw. 7 Przetworniki A/C i C/A 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z zasadami przetwarzania sygnałów analogowych na cyfrowe i cyfrowych na analogowe poprzez zbadanie przetworników A/C i

Bardziej szczegółowo

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC Wykład 2 Przegląd mikrokontrolerów 8-bit: -AVR -PIC Mikrokontrolery AVR Mikrokontrolery AVR ATTiny Główne cechy Procesory RISC mało instrukcji, duża częstotliwość zegara Procesory 8-bitowe o uproszczonej

Bardziej szczegółowo

a) dolno przepustowa; b) górno przepustowa; c) pasmowo przepustowa; d) pasmowo - zaporowa.

a) dolno przepustowa; b) górno przepustowa; c) pasmowo przepustowa; d) pasmowo - zaporowa. EUROELEKTRA Ogólnopolska Olimpiada Wiedzy Elektrycznej i Elektronicznej Rok szkolny 2009/2010 Zadania dla grupy elektroniczno-telekomunikacyjnej na zawody I. stopnia 1 Na rysunku przedstawiony jest schemat

Bardziej szczegółowo

Przerwania, polling, timery - wykład 9

Przerwania, polling, timery - wykład 9 SWB - Przerwania, polling, timery - wykład 9 asz 1 Przerwania, polling, timery - wykład 9 Adam Szmigielski aszmigie@pjwstk.edu.pl SWB - Przerwania, polling, timery - wykład 9 asz 2 Metody obsługi zdarzeń

Bardziej szczegółowo

Mikrokontroler ATmega32. System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe

Mikrokontroler ATmega32. System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe Mikrokontroler ATmega32 System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe 1 Przerwanie Przerwanie jest inicjowane przez urządzenie zewnętrzne względem mikroprocesora, zgłaszające potrzebę

Bardziej szczegółowo

Hardware mikrokontrolera X51

Hardware mikrokontrolera X51 Hardware mikrokontrolera X51 Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Hardware mikrokontrolera X51 (zegar)

Bardziej szczegółowo

Ćwiczenie 7 Matryca RGB

Ćwiczenie 7 Matryca RGB IMiO PW, LPTM, Ćwiczenie 7, Matryca RGB -1- Ćwiczenie 7 Matryca RGB IMiO PW, LPTM, Ćwiczenie 7, Matryca RGB -2-1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z inną oprócz RS - 232 formą szeregowej

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

PROFESJONALNY MULTIMETR CYFROWY ESCORT-99 DANE TECHNICZNE ELEKTRYCZNE

PROFESJONALNY MULTIMETR CYFROWY ESCORT-99 DANE TECHNICZNE ELEKTRYCZNE PROFESJONALNY MULTIMETR CYFROWY ESCORT-99 DANE TECHNICZNE ELEKTRYCZNE Format podanej dokładności: ±(% w.w. + liczba najmniej cyfr) przy 23 C ± 5 C, przy wilgotności względnej nie większej niż 80%. Napięcie

Bardziej szczegółowo

INSTRUKCJA OBSŁUGI. Przekaźnik czasowy ETM ELEKTROTECH Dzierżoniów. 1. Zastosowanie

INSTRUKCJA OBSŁUGI. Przekaźnik czasowy ETM ELEKTROTECH Dzierżoniów. 1. Zastosowanie INSTRUKCJA OBSŁUGI 1. Zastosowanie Przekaźnik czasowy ETM jest zadajnikiem czasowym przystosowanym jest do współpracy z prostownikami galwanizerskimi. Pozwala on załączyć prostownik w stan pracy na zadany

Bardziej szczegółowo

ĆWICZENIE NR 1 TEMAT: Wyznaczanie parametrów i charakterystyk wzmacniacza z tranzystorem unipolarnym

ĆWICZENIE NR 1 TEMAT: Wyznaczanie parametrów i charakterystyk wzmacniacza z tranzystorem unipolarnym ĆWICZENIE NR 1 TEMAT: Wyznaczanie parametrów i charakterystyk wzmacniacza z tranzystorem unipolarnym 4. PRZEBIE ĆWICZENIA 4.1. Wyznaczanie parametrów wzmacniacza z tranzystorem unipolarnym złączowym w

Bardziej szczegółowo

Układy akwizycji danych. Komparatory napięcia Przykłady układów

Układy akwizycji danych. Komparatory napięcia Przykłady układów Układy akwizycji danych Komparatory napięcia Przykłady układów Komparatory napięcia 2 Po co komparator napięcia? 3 Po co komparator napięcia? Układy pomiarowe, automatyki 3 Po co komparator napięcia? Układy

Bardziej szczegółowo

Metody obsługi zdarzeń

Metody obsługi zdarzeń SWB - Przerwania, polling, timery - wykład 10 asz 1 Metody obsługi zdarzeń Przerwanie (ang. Interrupt) - zmiana sterowania, niezależnie od aktualnie wykonywanego programu, spowodowana pojawieniem się sygnału

Bardziej szczegółowo

Programowany układ czasowy

Programowany układ czasowy Programowany układ czasowy Zbuduj na płycie testowej ze Spartanem-3A prosty ośmiobitowy układ czasowy pracujący w trzech trybach. Zademonstruj jego działanie na ekranie oscyloskopu. Projekt z Języków Opisu

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Wydział Elektroniki Mikrosystemów i Fotoniki dr inż. Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości

Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości Politechnika Lubelska Wydział Elektrotechniki i Informatyki PRACA DYPLOMOWA MAGISTERSKA Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości Marcin Narel Promotor: dr inż. Eligiusz

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów służy do przechowywania danych. Wybór źródła

Bardziej szczegółowo

Część 6. Mieszane analogowo-cyfrowe układy sterowania. Łukasz Starzak, Sterowanie przekształtników elektronicznych, zima 2011/12

Część 6. Mieszane analogowo-cyfrowe układy sterowania. Łukasz Starzak, Sterowanie przekształtników elektronicznych, zima 2011/12 Część 6 Mieszane analogowo-cyfrowe układy sterowania 1 Korzyści z cyfrowego sterowania przekształtników Zmniejszenie liczby elementów i wymiarów układu Sterowanie przekształtnikami o dowolnej topologii

Bardziej szczegółowo

Architektura przetworników A/C

Architektura przetworników A/C Architektura przetworników A/C Rozwój techniki cyfrowej spowodował opracowanie wielu zasad działania i praktycznych rozwiązao przetworników analogowo cyfrowych dla różnych zastosowao. Ze względu na rozwiązania

Bardziej szczegółowo

Przetworniki analogowo-cyfrowe

Przetworniki analogowo-cyfrowe POLITECHNIKA ŚLĄSKA WYDZIAŁ INŻYNIERII ŚRODOWISKA I ENERGETYKI INSTYTUT MASZYN I URZĄDZEŃ ENERGETYCZNYCH LABORATORIUM ELEKTRYCZNE Przetworniki analogowo-cyfrowe (E-11) opracował: sprawdził: dr inż. Włodzimierz

Bardziej szczegółowo

4. Schemat układu pomiarowego do badania przetwornika

4. Schemat układu pomiarowego do badania przetwornika 1 1. Projekt realizacji prac związanych z uruchomieniem i badaniem przetwornika napięcie/częstotliwość z układem AD654 2. Założenia do opracowania projektu a) Dane techniczne układu - Napięcie zasilające

Bardziej szczegółowo

Badanie właściwości tłumienia zakłóceń woltomierza z przetwornikiem A/C z dwukrotnym całkowaniem

Badanie właściwości tłumienia zakłóceń woltomierza z przetwornikiem A/C z dwukrotnym całkowaniem Ćwiczenie 7 Badanie właściwości tłumienia zakłóceń woltomierza z przetwornikiem A/C z dwukrotnym całkowaniem PODSAWY EOREYCZNE PRZEWORNIK ANALOGOWO CYFROWEGO Z DWKRONYM CAŁKOWANIEM. SCHEMA BLOKOWY I ZASADA

Bardziej szczegółowo