Przetworniki analogowo-cyfrowe (A/C)

Wielkość: px
Rozpocząć pokaz od strony:

Download "Przetworniki analogowo-cyfrowe (A/C)"

Transkrypt

1 Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe to urządzenia, przetwarzające ciągły analogowy sygnał wejściowy jedno wejście na odpowiadający mu dyskretny cyfrowy sygnał wyjściowy n wyjść binarnych. AVcc napięcie zasilania AGND masa analogowa Vref napięcie odniesienia W procesie konwersji analogowo cyfrowej zachodzi: próbkowanie sygnału (dyskretyzacja w dziedzinie czasu w pionie), kwantowanie sygnału - przeważnie napięcia (dyskretyzacja w poziomie), kodowanie sygnału. Procesy te mogą przebiegać równocześnie lub kolejno. Próbkowanie (kwantowanie czasu) Dokładność procesu próbkowania

2 Kwantowanie sygnału i kodowanie Zakres przetwarzania: 8 bitów bitów bitów bitów Charakterystyka przetwarzania (idealna) Minimalna wartość przetwarzania: Maksymalna wartość przetwarzania: AGND, Vref 1LSB Przykład 1. Dla podanych przetworników wyznaczyć wartość mierzonego napięcia, jeżeli wynik cyfrowy konwersji: ADC=120. Przetwornik n = 8-bitowy, Vref=2,56V U= ADC * Vref / 2 n U=120 * 2,56V / 256 = 1,20V Przetwornik 10-bitowy, Vref=2,56V ADC=120 U= 120 * 2,56V / 1024 = 0,30V

3 Parametry przetwornika A/C: n liczba bitów, rozdzielczość przetwarzania: q= U/2 n U= Vref - 0 q= Vref/2 n (1LSB), czas przetwarzania, rezystancja wejściowa. Przykład 2. Wyznaczyć rozdzielczość przetworników A/C: Przetwornik 12-bitowy, Vref = 2,56V Rozdzielczość q = 2,56V/4096 = 625µV Przetwornik 12-bitowy, Vref = 4,096 V Rozdzielczość q = 4,096V/4096 = 1mV Przetwornik 10-bitowy, Vref = 2,56V Rozdzielczość q = 2,56V/1024 = 2,5mV (ATmega 16) Przetwornik 10-bitowy, Vref = 2,048V Rozdzielczość q = 2,048V/1024 = 2mV Przetwornik 10-bitowy, Vref = 5V Rozdzielczość q = 5V/1024 = 4, mV Metody przetwarzania analogowo-cyfrowego. Istnieje wiele różnych metod przetwarzania analogowo-cyfrowego różniących się: wartością wielkości przetwarzanego sygnału (chwilowa, średnia), wartością błędu przetwarzania, rozdzielczością, szybkością przetwarzania, kosztami.

4 Metoda bezpośrednia Metody przetwarzania napięcie czas Pojedyncze całkowanie

5 Podwójne całkowanie Przetwarzanie z sukcesywną aproksymacją (ATmega 16)

6 Podsumowanie - własności podstawowych metod przetwarzania A/C

7 Blok przetwornika A/C w ATmega 16 Mikrokontroler ATmega16 wyposażony jest w 10-bitowy przetwornik ADC z sukcesywną aproksymacją. Uproszczona struktura przetwornika A/C opartego na metodzie SAR: układ próbkująco-pamiętający, komparator analogowy, rejestr aproksymacyjny zawiera wynik konwersji, układ sterowania realizuje algorytm metody SAR, zawiera rejestry sterujące, przetwornik C/A (najczęściej drabinka R-2R), multipleksery analogowe, źródła napięcia odniesienia (referencyjnego), niekiedy oddzielne linie zasilające i masy (w celu eliminacji zakłóceń). Cykl konwersji w tym układzie zaczyna się od pobrania próbki mierzonego napięcia wejściowego i zapamiętania jej w pojemności C. Rejestr aproksymacyjny jest zazwyczaj inicjowany w taki sposób, że ma ustawiony najbardziej znaczący bit MSB, a pozostałe bity wyzerowane. Zawartość rejestru reprezentuje zatem napięcie równe połowie maksymalnego napięcia (zakresu pomiarowego). Wartość ta jest zamieniana przez przetwornik C/A na napięcie i porównywana z napięciem mierzonym. W zależności od wyniku porównania układ sterowania pozostawia najbardziej znaczący bit rejestru niezmieniony napięcie mierzone jest większe od połowy napięcia zakresowego albo go neguje, gdy jest mniejsze. Po określeniu wartości najbardziej znaczącego bitu rejestru aproksymacyjnego przetwornik ustawia w rejestrze kolejny bit i powtarza opisaną wyżej procedurę, aż do ostatniego najmniej znaczącego bitu LSB.

8 Parametry przetwornika A/C: długość słowa - 10 bitów, czas konwersji - typowo od kilkunastu do kilkudziesięciu ms, rozdzielczość - zależy od napięcia referencyjnego błąd całkowity - około 1,5LSB. Błąd całkowity obejmuje: błąd kwantyzacji (quantization error), błąd przesunięcia zera (zero-offset error), błąd skalowania przetwornika (full-scale error), błąd nieliniowości całkowitej (integral nonlinearity error), błąd nieliniowości różniczkowej (differential nonlinearity error). Idealna charakterystyka 10-bitowego przetwornika A/C z ilustracją błędu kwantyzacji wynikającego z przesunięcia charakterystyki o ½ LSB oraz pasa błędu, w którym ta charakterystyka się mieści.

9 Struktura bloku przetwornika A/C dla ATmega 16 Zasilanie przetwornika przez pin AVCC. Napięcie zasilające nie może się różnić więcej niż o ±0,3 od VCC. Dla lepszego zabezpieczenia przed szumami z zewnątrz, możliwe jest zasilanie przetwornika przez filtr dolnoprzepustowy LC. Do pinu AREF można podłączyć zewnętrzne napięcie referencyjne (oraz dodatkowy kondensator filtrujący) ADC wyposażony jest w zintegrowane źródło napięcia referencyjnego o wartości 2,56V. ADC podłączony jest do 8 kanałowego multipleksera pozwalającego na przyłączenie ośmiu napięć wejściowych do każdego z pinów portu A. Możliwy jest również pomiar napięcia różnicowego pomiędzy parami wybranych pinów portu A. Tryb ten nie będzie omawiany.

10 Rejestry funkcyjne przetwornika A/C ADMUX rejestr konfiguracji multipleksera ADC ADCSRA rejestr kontrolny i statusowy przetwornika ADC ADCH/ADCL rejestry danych SFIOR rejestr funkcji specjalnych (bity ADTS0:2) ADMUX REFS1:0 wybór sygnału referencyjnego ADLAR sposób wyrównania wyniku konwersji w rejestrach danych ADCH/ADCL AAADLAR=0 AAADLAR=1 MUX4:0 - wybór kanału (oraz wzmocnienia dla trybu różnicowego).

11 ADCSRA ADEN - odblokowanie przetwornika; wpisanie 1 włącza przetwornik, 0 wyłącza. ADSC - rozpoczęcie konwersji. W trybie pojedynczego wyzwalania, wpisanie 1 powoduje rozpoczęcie każdej konwersji. W trybie automatycznego wyzwalania, wpisanie jedynki uruchamia ten proces. Tryb automatyczny może być rozpoczęty równocześnie z włączeniem przetwornika, przez wpisanie odpowiedniej wartości do ADCSRA. ADATE - tryb automatycznego wyzwalania. Ustawienie bitu na 1 powoduje włączenie trybu automatycznego wyzwalania konwersji. ADC rozpoczyna konwersję od narastającego zbocza wybranego sygnału wyzwalającego. Źródło wybiera się ustawieniem bitów ADTS w rejestrze SFIOR. ADIF - flaga przerwania ADC. Ten bit jest ustawiany na 1, gdy ADC zakończy konwersję i zaktualizuje rejestr danych konwersji ostatnim wynikiem. Przerwanie związane z zakończeniem jest wykonywane, gdy ustawione są bity ADIE oraz bit I w rejestrze SREG. Flaga jest sprzętowo kasowana przez wykonywanie kodu obsługi przerwania, lub należy kasować programowo przez wpisanie 1. ADIE - Włączenie przerwania ADC. Kiedy bit zostanie ustawiony na 1 i ustawiona jest też flaga I w SREG, to zakończenie konwersji ADC wywoła przerwanie. ADPS2:0 - Bity wyboru preskalera. Przez odpowiednie ustawienie bitów aktywuje się dzielnik częstotliwości kwarcu aby dobrać odpowiedni zegar dla ADC. Dopuszczalny zakres z jakim może pracować to kHz (więc dla kwarcu 8Mhz będzie to 64 lub 128).

12 SFIOR ADTS2:0 definiuje źródło automatycznego wyzwalania ADC. Jeśli bit ADATE w rejestrze ADCSRA jest ustawiony, to wartość bitów ADT wskazuje na źródło automatycznego wyzwalania startu przetwarzania ADC. Wyzwalanie następuje na zboczu narastającym źródła. Działanie ADC Aby dokonać pomiaru napięcia należy wykonać następujące kroki: wybrać źródło referencyjne - bity REFS1:REFS0 w ADMUX ustalić sposób justowania wyniku konwersji w lewo lub w prawo - bit ADLAR w ADMUX, wybrać kanał konwersji, ewentualnie wzmocnienie kanału dla trybu różnicowego bity MUX4:0 ADMUX, ustawić podział sygnału zegarowego taktującego konwersją - bity ADSP2:0 w ADCSRA, włączyć przetwornik - bit ADEN i odblokować przerwanie od końca konwersji - bit ADIE w ADCSRA, jeżeli bit ADATE (ADC Auto Triger Enable) w ADCSRA jest wyzerowany, to konwersję rozpoczyna się ustawiając bit ADSC w ADCSRA, konwersja kończy się ustawieniem flagi ADIF w ADCSRA, co generuje przerwanie, wynik konwersji znajduje się w parze rejestrów danych ADCH/ADCL; jego wartość wyraża się wzorem (dla sygnału z pojedynczego wyprowadzenia):

13 Przebieg czasowy procedury pojedynczej konwersji A/C dla ATmega16 Konwersja rozpoczyna się od pierwszego narastającego zbocza zegara ADC po ustawieniu bitu ADSC przy włączonym przetworniku ustawiony bit ADEN. Normalna konwersja w trybie ciągłym trwa 13 cykli zegara ADC. Pierwsza konwersja wymaga natomiast 25 cykli, z których część potrzebna jest na zainicjowanie analogowej części przetwornika.

14 Przykładowa konfiguracja przetwornika A/C rd_temp: ldi r17, 0b ;11-wewn.Vref 2,56V, 1 starsze 8 bitów out ADMUX,r17 ;pomiar z kanału A0 ldi r17, 0b ;start, 110 dzielnik 64 out ADCSR, r17 ac_bsy: sbis ADCSR, ADIF rjmp ac_bsy sbi ADCSR, ADIF ;pętla do zakończenia konwersji in r16, ADCL ;zapisanie pomiaru AC in r17, ADCH ;przetwornik A/C jest 10-cio bitowy ret ;ale wykorzystuje 8 starszych bitów LM35 czujnik temperatury temperatura mierzona: 0ºC - 150ºC początek: 0ºC = 0mV rozdzielczość: 1ºC = 10mV; 8 starszych bitów (ADCH) całkowite stopnie, najstarszy bit w ADCL pierwsze miejsce dziesiętne -.0 lub.5 (24.5ºC)

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

Bardziej szczegółowo

Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1.

Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1. Dodatek D 1. Przetwornik analogowo-cyfrowy 1.1. Schemat blokowy Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1. Rys. 1. Schemat blokowy przetwornika A/C Przetwornik

Bardziej szczegółowo

Wbudowane układy peryferyjne cz. 3 Wykład 9

Wbudowane układy peryferyjne cz. 3 Wykład 9 Wbudowane układy peryferyjne cz. 3 Wykład 9 Komparator analogowy Komparator analogowy 2 Komparator analogowy Pozwala porównać napięcia na wejściu dodatnim i ujemnym Przerwanie może być wywołane obniżeniem

Bardziej szczegółowo

Programowanie mikrokontrolerów. 8 listopada 2007

Programowanie mikrokontrolerów. 8 listopada 2007 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 8 listopada 2007 Alfanumeryczny wyświetlacz LCD umożliwia wyświetlanie znaków ze zbioru będącego rozszerzeniem ASCII posiada zintegrowany sterownik

Bardziej szczegółowo

Obsługa przetwornika ADC na mikrokontrolerze ATmega8 CEZARY KLIMASZ OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8

Obsługa przetwornika ADC na mikrokontrolerze ATmega8 CEZARY KLIMASZ OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8 OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8 Opracowanie zawiera treści różnych publikacji takich jak: książki, datasheety, strony internetowe Cezary Klimasz Kraków 2008 1 Spis treści 1. Wprowadzenie...

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Wydział Elektroniki Mikrosystemów i Fotoniki dr inż. Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i Mikrokontrolery Zastosowanie przetwornika analogowo-cyfrowego do odczytywania napięcia z potencjometru

Bardziej szczegółowo

Struktury specjalizowane wykorzystywane w mikrokontrolerach

Struktury specjalizowane wykorzystywane w mikrokontrolerach Struktury specjalizowane wykorzystywane w mikrokontrolerach Przetworniki analogowo-cyfrowe i cyfrowoanalogowe Interfejsy komunikacyjne Zegary czasu rzeczywistego Układy nadzorujące Układy generacji sygnałów

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Laboratorium

Mikroprocesory i Mikrosterowniki Laboratorium Laboratorium Ćwiczenie 2 Przetwornik analogowo/cyfrowy (ADC) Program ćwiczenia: obsługa przerwań, obsługa konwertera A/C. Zagadnienia do przygotowania: jak do ćwiczenia 1, rejestry i obsługa konwertera

Bardziej szczegółowo

Poradnik programowania procesorów AVR na przykładzie ATMEGA8

Poradnik programowania procesorów AVR na przykładzie ATMEGA8 Poradnik programowania procesorów AVR na przykładzie ATMEGA8 Wersja 1.0 Tomasz Pachołek 2017-13-03 Opracowanie zawiera opis podstawowych procedur, funkcji, operatorów w języku C dla mikrokontrolerów AVR

Bardziej szczegółowo

Zastosowania mikrokontrolerów w przemyśle

Zastosowania mikrokontrolerów w przemyśle Zastosowania mikrokontrolerów w przemyśle Cezary MAJ Katedra Mikroelektroniki i Technik Informatycznych Współpraca z pamięciami zewnętrznymi Interfejs równoległy (szyna adresowa i danych) Multipleksowanie

Bardziej szczegółowo

Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Zasada pracy przetwornika A/C

Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Zasada pracy przetwornika A/C Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ Zrozumienie zasady działania przetwornika analogowo-cyfrowego. Poznanie charakterystyk przetworników ADC0804 i ADC0809. Poznanie aplikacji układów ADC0804

Bardziej szczegółowo

Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe

Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe Przetworniki cyfrowo / analogowe W cyfrowych systemach pomiarowych często zachodzi konieczność zmiany sygnału cyfrowego na analogowy, np. w celu

Bardziej szczegółowo

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM Strona 1 z 7 Opracował mgr inż. Jacek Lis (c) ZNE 2004 1.Budowa przetwornika ADC procesora

Bardziej szczegółowo

Przetworniki analogowo-cyfrowe

Przetworniki analogowo-cyfrowe POLITECHNIKA ŚLĄSKA WYDZIAŁ INŻYNIERII ŚRODOWISKA I ENERGETYKI INSTYTUT MASZYN I URZĄDZEŃ ENERGETYCZNYCH LABORATORIUM ELEKTRYCZNE Przetworniki analogowo-cyfrowe (E-11) opracował: sprawdził: dr inż. Włodzimierz

Bardziej szczegółowo

Mikrokontroler ATmega32. System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe

Mikrokontroler ATmega32. System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe Mikrokontroler ATmega32 System przerwań Porty wejścia-wyjścia Układy czasowo-licznikowe 1 Przerwanie Przerwanie jest inicjowane przez urządzenie zewnętrzne względem mikroprocesora, zgłaszające potrzebę

Bardziej szczegółowo

Przetwarzanie analogowo-cyfrowe sygnałów

Przetwarzanie analogowo-cyfrowe sygnałów Przetwarzanie analogowo-cyfrowe sygnałów A/C 111111 1 Po co przekształcać sygnał do postaci cyfrowej? Można stosować komputerowe metody rejestracji, przetwarzania i analizy sygnałów parametry systemów

Bardziej szczegółowo

Podstawy systemów mikroprocesorowych

Podstawy systemów mikroprocesorowych Podstawy systemów mikroprocesorowych Wykład nr 6 Wszystko, co jeszcze chcielibyście wiedzieć o mikrokontrolerach, ale wolicie nie pytać (bo jeszcze będzie na kolokwium?) dr Piotr Fronczak http://www.if.pw.edu.pl/~agatka/psm.html

Bardziej szczegółowo

Przetwornik analogowo-cyfrowy

Przetwornik analogowo-cyfrowy Przetwornik analogowo-cyfrowy Przetwornik analogowo-cyfrowy A/C (ang. A/D analog to digital; lub angielski akronim ADC - od słów: Analog to Digital Converter), to układ służący do zamiany sygnału analogowego

Bardziej szczegółowo

Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865

Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865 Dodatek do instrukcji Ćwiczenia 8 Laboratorium AiCUE Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865 Literatura: - nota katalogowa fotodiody BPW34 - nota katalogowa przetwornika

Bardziej szczegółowo

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych Komputerowe systemy pomiarowe Dr Zbigniew Kozioł - wykład Mgr Mariusz Woźny laboratorium Wykład III Podstawowe elementy sprzętowe elektronicznych układów pomiarowych 1 - Linearyzatory, wzmacniacze, wzmacniacze

Bardziej szczegółowo

Przetworniki A/C. Ryszard J. Barczyński, 2010 2015 Materiały dydaktyczne do użytku wewnętrznego

Przetworniki A/C. Ryszard J. Barczyński, 2010 2015 Materiały dydaktyczne do użytku wewnętrznego Przetworniki A/C Ryszard J. Barczyński, 2010 2015 Materiały dydaktyczne do użytku wewnętrznego Parametry przetworników analogowo cyfrowych Podstawowe parametry przetworników wpływające na ich dokładność

Bardziej szczegółowo

Przetworniki A/C i C/A w systemach mikroprocesorowych

Przetworniki A/C i C/A w systemach mikroprocesorowych Przetworniki A/C i C/A w systemach mikroprocesorowych 1 Przetwornik A/C i C/A Przetworniki analogowo-cyfrowe (A/C) i cyfrowoanalogowe (C/A) to układy elektroniczne umożliwiające przesyłanie informacji

Bardziej szczegółowo

Przetworniki A/C i C/A w systemach mikroprocesorowych

Przetworniki A/C i C/A w systemach mikroprocesorowych Przetworniki A/C i C/A w systemach mikroprocesorowych 1 Przetwornik A/C i C/A Przetworniki analogowo-cyfrowe (A/C) i cyfrowoanalogowe (C/A) to układy elektroniczne umożliwiające przesyłanie informacji

Bardziej szczegółowo

Podstawowe funkcje przetwornika C/A

Podstawowe funkcje przetwornika C/A ELEKTRONIKA CYFROWA PRZETWORNIKI CYFROWO-ANALOGOWE I ANALOGOWO-CYFROWE Literatura: 1. Rudy van de Plassche: Scalone przetworniki analogowo-cyfrowe i cyfrowo-analogowe, WKŁ 1997 2. Marian Łakomy, Jan Zabrodzki:

Bardziej szczegółowo

Rys. Podstawowy system przetwarzania cyfrowego sygnałów analogowych

Rys. Podstawowy system przetwarzania cyfrowego sygnałów analogowych TEORIA PRÓBKOWANIA Podstawy teorii pobierania próbek. Schemat blokowy typowego systemu pobierającego w czasie rzeczywistym próbki danych jest pokazany na rysunku poniżej. W rzeczywistych układach konwersji

Bardziej szczegółowo

Ćw. 7 Przetworniki A/C i C/A

Ćw. 7 Przetworniki A/C i C/A Ćw. 7 Przetworniki A/C i C/A 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z zasadami przetwarzania sygnałów analogowych na cyfrowe i cyfrowych na analogowe poprzez zbadanie przetworników A/C i

Bardziej szczegółowo

Przetworniki AC i CA

Przetworniki AC i CA KATEDRA INFORMATYKI Wydział EAIiE AGH Laboratorium Techniki Mikroprocesorowej Ćwiczenie 4 Przetworniki AC i CA Cel ćwiczenia Celem ćwiczenia jest poznanie budowy i zasady działania wybranych rodzajów przetworników

Bardziej szczegółowo

Architektura przetworników A/C. Adam Drózd

Architektura przetworników A/C. Adam Drózd Architektura przetworników A/C Adam Drózd Rozdział 1 Architektura przetworników A/C Rozwój techniki cyfrowej spowodował opacownie wielu zasad działania i praktycznych rozwiązań przetworników analogowo

Bardziej szczegółowo

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY PRZETWORIKI C / A PODSTAWOWE PARAMETRY Rozdzielczość przetwornika C/A - Określa ją liczba - bitów słowa wejściowego. - Definiuje się ją równieŝ przez wartość związaną z najmniej znaczącym bitem (LSB),

Bardziej szczegółowo

ĆWICZENIE. TEMAT: OBSŁUGA PRZETWORNIKA A/C W ukontrolerze 80C535 KEILuVISON

ĆWICZENIE. TEMAT: OBSŁUGA PRZETWORNIKA A/C W ukontrolerze 80C535 KEILuVISON ĆWICZENIE TEMAT: OBSŁUGA PRZETWORNIKA A/C W ukontrolerze 80C535 KEILuVISON Wiadomości wstępne: Wszystkie sygnały analogowe, które mają być przetwarzane w systemach mikroprocesorowych są próbkowane, kwantowane

Bardziej szczegółowo

Metody przetwarzania. Dr inż. Janusz MIKOŁAJCZYK

Metody przetwarzania. Dr inż. Janusz MIKOŁAJCZYK Metody przetwarzania Dr inż. Janusz MIKOŁAJCZYK Tematyka wykładu: - przetwarzanie, - metody przetwarzania A/C, - metody przetwarzania A/C Dyskryminator, komparator Dyskryminator generuje impuls cyfrowy

Bardziej szczegółowo

Przetworniki C/A. Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego

Przetworniki C/A. Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego Przetworniki C/A Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego Przetwarzanie C/A i A/C Większość rzeczywistych sygnałów to sygnały analogowe. By je przetwarzać w dzisiejszych

Bardziej szczegółowo

Interfejs analogowy LDN-...-AN

Interfejs analogowy LDN-...-AN Batorego 18 sem@sem.pl 22 825 88 52 02-591 Warszawa www.sem.pl 22 825 84 51 Interfejs analogowy do wyświetlaczy cyfrowych LDN-...-AN zakresy pomiarowe: 0-10V; 0-20mA (4-20mA) Załącznik do instrukcji obsługi

Bardziej szczegółowo

Badanie przetworników A/C i C/A

Badanie przetworników A/C i C/A 9 POLITECHNIKA POZNAŃSKA KATEDRA STEROWANIA I INŻYNIERII SYSTEMÓW Pracownia Układów Elektronicznych i Przetwarzania Sygnałów ELEKTRONICZNE SYSTEMY POMIAROWE Instrukcja do ćwiczeń laboratoryjnych Badanie

Bardziej szczegółowo

Moduł wejść/wyjść VersaPoint

Moduł wejść/wyjść VersaPoint Analogowy wyjściowy napięciowo-prądowy o rozdzielczości 16 bitów 1 kanałowy Moduł obsługuje wyjście analogowe sygnały napięciowe lub prądowe. Moduł pracuje z rozdzielczością 16 bitów. Parametry techniczne

Bardziej szczegółowo

ĆWICZENIE nr 3. Badanie podstawowych parametrów metrologicznych przetworników analogowo-cyfrowych

ĆWICZENIE nr 3. Badanie podstawowych parametrów metrologicznych przetworników analogowo-cyfrowych Politechnika Łódzka Katedra Przyrządów Półprzewodnikowych i Optoelektronicznych WWW.DSOD.PL LABORATORIUM METROLOGII ELEKTRONICZNEJ ĆWICZENIE nr 3 Badanie podstawowych parametrów metrologicznych przetworników

Bardziej szczegółowo

ASTOR IC200ALG320 4 wyjścia analogowe prądowe. Rozdzielczość 12 bitów. Kod: B8. 4-kanałowy moduł ALG320 przetwarza sygnały cyfrowe o rozdzielczości 12

ASTOR IC200ALG320 4 wyjścia analogowe prądowe. Rozdzielczość 12 bitów. Kod: B8. 4-kanałowy moduł ALG320 przetwarza sygnały cyfrowe o rozdzielczości 12 2.11 MODUŁY WYJŚĆ ANALOGOWYCH IC200ALG320 4 wyjścia analogowe prądowe, rozdzielczość 12 bitów IC200ALG321 4 wyjścia analogowe napięciowe (0 10 VDC), rozdzielczość 12 bitów IC200ALG322 4 wyjścia analogowe

Bardziej szczegółowo

Przetwarzanie AC i CA

Przetwarzanie AC i CA 1 Elektroniki Elektroniki Elektroniki Elektroniki Elektroniki Katedr Przetwarzanie AC i CA Instrukcja do ćwiczenia laboratoryjnego opracował: Łukasz Buczek 05.2015 1. Cel ćwiczenia 2 Celem ćwiczenia jest

Bardziej szczegółowo

KATEDRA ELEKTRONIKI AGH WYDZIAŁ EAIIE. Dydaktyczny model 4-bitowego przetwornika C/A z siecią rezystorów o wartościach wagowych

KATEDRA ELEKTRONIKI AGH WYDZIAŁ EAIIE. Dydaktyczny model 4-bitowego przetwornika C/A z siecią rezystorów o wartościach wagowych KATEDRA ELEKTRONIKI AGH WYDZIAŁ EAIIE Przetworniki A/C i C/A Data wykonania LABORATORIUM TECHNIKI CYFROWEJ Skład zespołu: Dydaktyczny model 4-bitowego przetwornika C/A z siecią rezystorów o wartościach

Bardziej szczegółowo

Programowanie mikrokontrolerów 2.0

Programowanie mikrokontrolerów 2.0 9.1 Programowanie mikrokontrolerów 2.0 Przetwarzanie sygnałów analogowych, obliczenia zmiennoprzecinkowe Marcin Engel Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 13 grudnia 2016 Przetwornik

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

LABORATORIUM STEROWNIKÓW MIKROPROCESOROWYCH

LABORATORIUM STEROWNIKÓW MIKROPROCESOROWYCH POLITECHNIKA WROCŁAWSKA INSTYTUT MASZYN I NAPĘDÓW ELEKTRYCZNYCH LABORATORIUM STEROWNIKÓW MIKROPROCESOROWYCH W NAPĘDZIE ELEKTRYCZNYM Opracowanie: mgr inż. Krzysztof P. Dyrcz mgr inż. Zdzisław Żarczyński

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

PRZETWORNIKI A/C I C/A.

PRZETWORNIKI A/C I C/A. Przetworniki A/C i C/A 0 z 8 PRACOWNIA ENERGOELEKTRONICZNA w ZST Radom 2006/2007 PRZETWORNIKI A/C I C/A. Przed wykonaniem ćwiczenia powinieneś znać odpowiedzi na 4 pierwsze pytania i polecenia. Po wykonaniu

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

Przykładowe zadanie praktyczne

Przykładowe zadanie praktyczne Przykładowe zadanie praktyczne Opracuj projekt realizacji prac związanych z uruchomieniem i testowaniem kodera i dekodera PCM z układem scalonym MC 145502 zgodnie z zaleceniami CCITT G.721 (załączniki

Bardziej szczegółowo

Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS. Opis karty OPCONZ. http://www.optel.pl email: optel@optel.

Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS. Opis karty OPCONZ. http://www.optel.pl email: optel@optel. Research & Development ltrasonic Technology / Fingerprint recognition DATA SHEETS & Opis karty OPCONZ http://www.optel.pl email: optel@optel.pl Przedsiębiorstwo Badawczo-Produkcyjne OPTEL Spółka z o.o.

Bardziej szczegółowo

Architektura przetworników A/C

Architektura przetworników A/C Architektura przetworników A/C Rozwój techniki cyfrowej spowodował opracowanie wielu zasad działania i praktycznych rozwiązao przetworników analogowo cyfrowych dla różnych zastosowao. Ze względu na rozwiązania

Bardziej szczegółowo

Wykład 12. Przetwornik ADC

Wykład 12. Przetwornik ADC Wykład 12 Przetwornik Przetwornik analogowo-cyfrowy () Moduł w mikrokontrolerach Stellaris posiada rozdzielczość 10-bitów i cztery kanały wejściowe oraz dodatkowo wewnętrzny czujnik temperatury. Moduł

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

Przetwarzanie A/C i C/A

Przetwarzanie A/C i C/A Przetwarzanie A/C i C/A Instrukcja do ćwiczenia laboratoryjnego opracował: Łukasz Buczek 05.2015 Rev. 204.2018 (KS) 1 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z przetwornikami: analogowo-cyfrowym

Bardziej szczegółowo

Hardware mikrokontrolera X51

Hardware mikrokontrolera X51 Hardware mikrokontrolera X51 Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Hardware mikrokontrolera X51 (zegar)

Bardziej szczegółowo

Karta katalogowa V E3XB. Moduł wejść/wyjść Snap. 18 (podzielone na dwie grupy) Typ wejść

Karta katalogowa V E3XB. Moduł wejść/wyjść Snap. 18 (podzielone na dwie grupy) Typ wejść Karta katalogowa V200-18-E3XB Moduł wejść/wyjść Snap Specyfikacja techniczna Wejścia cyfrowe Liczba wejść 18 (podzielone na dwie grupy) Typ wejść Tranzystorowe typu pnp (źródło) lub npn (dren) Nominalne

Bardziej szczegółowo

Komunikacja w mikrokontrolerach Laboratorium

Komunikacja w mikrokontrolerach Laboratorium Laboratorium Ćwiczenie 4 Magistrala SPI Program ćwiczenia: konfiguracja transmisji danych między mikrokontrolerem a cyfrowym czujnikiem oraz sterownikiem wyświetlaczy 7-segmentowych przy użyciu magistrali

Bardziej szczegółowo

Klasyfikacja metod przetwarzania analogowo cyfrowego (A/C, A/D)

Klasyfikacja metod przetwarzania analogowo cyfrowego (A/C, A/D) Klasyfikacja metod przetwarzania analogowo cyfrowego (A/C, A/D) Metody pośrednie Metody bezpośrednie czasowa częstotliwościowa kompensacyjna bezpośredniego porównania prosta z podwójnym całkowaniem z potrójnym

Bardziej szczegółowo

Pomiary i przyrządy cyfrowe

Pomiary i przyrządy cyfrowe Pomiary i przyrządy cyfrowe Przyrządy analogowe trochę historii Ustrój magnetoelektryczny z I z I N d S B r ~ Ω I r r zaciski pomiarowe U U = r I amperomierz woltomierz współczynnik poszerzenia zakresu

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

PL B1. Układ do pośredniego przetwarzania chwilowej wielkości napięcia elektrycznego na słowo cyfrowe

PL B1. Układ do pośredniego przetwarzania chwilowej wielkości napięcia elektrycznego na słowo cyfrowe PL 227456 B1 RZECZPOSPOLITA POLSKA (12) OPIS PATENTOWY (19) PL (11) 227456 (13) B1 Urząd Patentowy Rzeczypospolitej Polskiej (21) Numer zgłoszenia: 413967 (22) Data zgłoszenia: 14.09.2015 (51) Int.Cl.

Bardziej szczegółowo

Programowany układ czasowy APSC

Programowany układ czasowy APSC Programowany układ czasowy APSC Ośmiobitowy układ czasowy pracujący w trzech trybach. Wybór trybu realizowany jest przez wartość ładowaną do wewnętrznego rejestru zwanego słowem sterującym. Rejestr ten

Bardziej szczegółowo

Wykład nr 3 Budowa i działanie komputerowego systemu sterowania

Wykład nr 3 Budowa i działanie komputerowego systemu sterowania Wykład nr 3 Budowa i działanie komputerowego systemu sterowania Działanie komputerowego systemu sterowania Charakterystyka elementów komputerowego systemu sterowania Wyczerpujący przykład : sterowanie

Bardziej szczegółowo

MCAR Robot mobilny z procesorem AVR Atmega32

MCAR Robot mobilny z procesorem AVR Atmega32 MCAR Robot mobilny z procesorem AVR Atmega32 Opis techniczny Jakub Kuryło kl. III Ti Zespół Szkół Zawodowych nr. 1 Ul. Tysiąclecia 3, 08-530 Dęblin e-mail: jkurylo92@gmail.com 1 Spis treści 1. Wstęp..

Bardziej szczegółowo

SDD287 - wysokoprądowy, podwójny driver silnika DC

SDD287 - wysokoprądowy, podwójny driver silnika DC SDD287 - wysokoprądowy, podwójny driver silnika DC Własności Driver dwóch silników DC Zasilanie: 6 30V DC Prąd ciągły (dla jednego silnika): do 7A (bez radiatora) Prąd ciągły (dla jednego silnika): do

Bardziej szczegółowo

Scalony analogowy sterownik przekształtników impulsowych MCP1630

Scalony analogowy sterownik przekształtników impulsowych MCP1630 Scalony analogowy sterownik przekształtników impulsowych MCP1630 DRV CFB VFB 1. Impuls zegara S=1 R=0 Q=0, DRV=0 (przez bramkę OR) 2. Koniec impulsu S=0 R=0 Q=Q 1=0 DRV=1 3. CFB > COMP = f(vfb VREF) S=0

Bardziej szczegółowo

Przetwornik analogowo-cyfrowy, zbudowany w oparciu o przetwornik cyfrowo-analogowy.

Przetwornik analogowo-cyfrowy, zbudowany w oparciu o przetwornik cyfrowo-analogowy. Kraków 2019 Przetwornik analogowo-cyfrowy, zbudowany w oparciu o przetwornik cyfrowo-analogowy. Jan Grodecki (wersja poprawiona 2019) Łukasz Kaczmarski* Dominik Korecki* Seweryn Ściążko* (*wersja oryginalna

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikrokontrolery i Mikroprocesory Przetwornik ADC laboratorium: 04 autor: mgr inż. Katarzyna Smelcerz Kraków, 2016

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

Programowany układ czasowy

Programowany układ czasowy Programowany układ czasowy Zbuduj na płycie testowej ze Spartanem-3A prosty ośmiobitowy układ czasowy pracujący w trzech trybach. Zademonstruj jego działanie na ekranie oscyloskopu. Projekt z Języków Opisu

Bardziej szczegółowo

M-1TI. PROGRAMOWALNY PRECYZYJNY PRZETWORNIK RTD, TC, R, U / 4-20mA ZASTOSOWANIE:

M-1TI. PROGRAMOWALNY PRECYZYJNY PRZETWORNIK RTD, TC, R, U / 4-20mA ZASTOSOWANIE: M-1TI PROGRAMOWALNY PRECYZYJNY PRZETWORNIK RTD, TC, R, U / 4-20mA Konwersja sygnału z czujnika temperatury (RTD, TC), rezystancji (R) lub napięcia (U) na sygnał pętli prądowej 4-20mA Dowolny wybór zakresu

Bardziej szczegółowo

Wyjścia analogowe w sterownikach, regulatorach

Wyjścia analogowe w sterownikach, regulatorach Wyjścia analogowe w sterownikach, regulatorach 1 Sygnały wejściowe/wyjściowe w sterowniku PLC Izolacja galwaniczna obwodów sterownika Zasilanie sterownika Elementy sygnalizacyjne Wejścia logiczne (dwustanowe)

Bardziej szczegółowo

Zakres wymaganych wiadomości do testów z przedmiotu Metrologia. Wprowadzenie do obsługi multimetrów analogowych i cyfrowych

Zakres wymaganych wiadomości do testów z przedmiotu Metrologia. Wprowadzenie do obsługi multimetrów analogowych i cyfrowych Zakres wymaganych wiadomości do testów z przedmiotu Metrologia Ćwiczenie 1 Wprowadzenie do obsługi multimetrów analogowych i cyfrowych budowa i zasada działania przyrządów analogowych magnetoelektrycznych

Bardziej szczegółowo

Badanie przetworników AC różnych typów

Badanie przetworników AC różnych typów WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Badanie przetworników AC różnych typów Ćwiczenia Laboratoryjne - Metrologia II mgr inż. Bartosz Brzozowski Warszawa 2015 1 Cel ćwiczenia laboratoryjnego

Bardziej szczegółowo

Uniwersalny sterownik silnika krokowego z portem szeregowym RS232 z procesorem AT90S2313 na płycie E200. Zestaw do samodzielnego montażu.

Uniwersalny sterownik silnika krokowego z portem szeregowym RS232 z procesorem AT90S2313 na płycie E200. Zestaw do samodzielnego montażu. microkit E3 Uniwersalny sterownik silnika krokowego z portem szeregowym RS3 z procesorem AT90S33 na płycie E00. Zestaw do samodzielnego montażu..opis ogólny. Sterownik silnika krokowego przeznaczony jest

Bardziej szczegółowo

2.1 Porównanie procesorów

2.1 Porównanie procesorów 1 Wstęp...1 2 Charakterystyka procesorów...1 2.1 Porównanie procesorów...1 2.2 Wejścia analogowe...1 2.3 Termometry cyfrowe...1 2.4 Wyjścia PWM...1 2.5 Odbiornik RC5...1 2.6 Licznik / Miernik...1 2.7 Generator...2

Bardziej szczegółowo

O sygnałach cyfrowych

O sygnałach cyfrowych O sygnałach cyfrowych Informacja Informacja - wielkość abstrakcyjna, która moŝe być: przechowywana w pewnych obiektach przesyłana pomiędzy pewnymi obiektami przetwarzana w pewnych obiektach stosowana do

Bardziej szczegółowo

Research & Development Ultrasonic Technology / Fingerprint recognition

Research & Development Ultrasonic Technology / Fingerprint recognition Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS & OPKO http://www.optel.pl email: optel@optel.pl Przedsiębiorstwo Badawczo-Produkcyjne OPTEL Spółka z o.o. ul. Otwarta

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Definicja kwantowania i próbkowania Sieci rezystorowe R-2R w przetwornikach C/A Klasyfikacja metody przetwarzania A/C Przetwarzanie A/C typu sigma

Definicja kwantowania i próbkowania Sieci rezystorowe R-2R w przetwornikach C/A Klasyfikacja metody przetwarzania A/C Przetwarzanie A/C typu sigma Ćwiczenie numer 8 Przetworniki analogowo/cyfrowe i cyfrowo/analogowe Zagadnienia do przygotowania Definicja kwantowania i próbkowania Sieci rezystorowe R-2R w przetwornikach C/A Klasyfikacja metody przetwarzania

Bardziej szczegółowo

Teoria przetwarzania A/C i C/A.

Teoria przetwarzania A/C i C/A. Teoria przetwarzania A/C i C/A. Autor: Bartłomiej Gorczyński Cyfrowe metody przetwarzania sygnałów polegają na przetworzeniu badanego sygnału analogowego w sygnał cyfrowy reprezentowany ciągiem słów binarnych

Bardziej szczegółowo

SPECYFIKACJA HTC-K-VR. Kanałowy przetwornik CO2 z wyjściem analogowym V i progiem przekaźnikowym

SPECYFIKACJA HTC-K-VR. Kanałowy przetwornik CO2 z wyjściem analogowym V i progiem przekaźnikowym SPECYFIKACJA HTC-K-VR Kanałowy przetwornik CO2 z wyjściem analogowym 0...10 V i progiem przekaźnikowym 2016-02-22 HOTCOLD s.c. 05-120 Legionowo, Reymonta 12/26 tel./fax 22 784 11 47 1. Wprowadzenie...3

Bardziej szczegółowo

Budowa mikrokontrolera UC3C. - 3 rodzaje obudów

Budowa mikrokontrolera UC3C. - 3 rodzaje obudów Cechy układu UC3C - 32 bitowy mikrokontroler - zasilanie 5V lub 3,3V - moduł generecji impulsów PWM - FPU sprzetowy moduł wspomagania obliczeń - kontroler zdarzeń (PEVC- Peripheral Event Controller) -

Bardziej szczegółowo

Edukacyjny sterownik silnika krokowego z mikrokontrolerem AT90S1200 na płycie E100. Zestaw do samodzielnego montażu.

Edukacyjny sterownik silnika krokowego z mikrokontrolerem AT90S1200 na płycie E100. Zestaw do samodzielnego montażu. E113 microkit Edukacyjny sterownik silnika krokowego z mikrokontrolerem AT90S1200 na płycie E100 1.Opis ogólny. Zestaw do samodzielnego montażu. Edukacyjny sterownik silnika krokowego przeznaczony jest

Bardziej szczegółowo

Wbudowane układy peryferyjne cz. 2 Wykład 8

Wbudowane układy peryferyjne cz. 2 Wykład 8 Wbudowane układy peryferyjne cz. 2 Wykład 8 Timery Timery (liczniki) 2 Timery informacje ogólne Mikrokontroler ATmega32 posiada 3 liczniki: Timer0 8-bitowy Timer1 16-bitowy Timer2 8-bitowy, mogący pracować

Bardziej szczegółowo

SDD287 - wysokoprądowy, podwójny driver silnika DC

SDD287 - wysokoprądowy, podwójny driver silnika DC SDD287 - wysokoprądowy, podwójny driver silnika DC Własności Driver dwóch silników DC Zasilanie: 6 30V DC Prąd ciągły (dla jednego silnika): do 7A (bez radiatora) Prąd ciągły (dla jednego silnika): do

Bardziej szczegółowo

Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI. Katedra Metrologii i Optoelektroniki. Metrologia. Ilustracje do wykładu

Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI. Katedra Metrologii i Optoelektroniki. Metrologia. Ilustracje do wykładu Politechnika Gdańska WYDZIAŁ ELEKTRONIKI TELEKOMUNIKACJI I INFORMATYKI Katedra Metrologii i Optoelektroniki Metrologia Studia I stopnia, kier Elektronika i Telekomunikacja, sem. 2 Ilustracje do wykładu

Bardziej szczegółowo

Rozdział 21 Moduły analogowo - temperaturowe

Rozdział 21 Moduły analogowo - temperaturowe Rozdział 21 Moduły analogowo - temperaturowe W odpowiedzi na wymagania użytkowników seria Fs-PLC została wyposażona w analogowy moduł wejściowy z funkcją pomiaru temperatury. Łączy on w sobie funkcje modułu

Bardziej szczegółowo

a) dolno przepustowa; b) górno przepustowa; c) pasmowo przepustowa; d) pasmowo - zaporowa.

a) dolno przepustowa; b) górno przepustowa; c) pasmowo przepustowa; d) pasmowo - zaporowa. EUROELEKTRA Ogólnopolska Olimpiada Wiedzy Elektrycznej i Elektronicznej Rok szkolny 2009/2010 Zadania dla grupy elektroniczno-telekomunikacyjnej na zawody I. stopnia 1 Na rysunku przedstawiony jest schemat

Bardziej szczegółowo

2. PORTY WEJŚCIA/WYJŚCIA (I/O)

2. PORTY WEJŚCIA/WYJŚCIA (I/O) 2. PORTY WEJŚCIA/WYJŚCIA (I/O) 2.1 WPROWADZENIE Porty I/O mogą pracować w kilku trybach: - przesyłanie cyfrowych danych wejściowych i wyjściowych a także dla wybrane wyprowadzenia: - generacja przerwania

Bardziej szczegółowo

2.11 MODUŁY WEJŚĆ ANALOGOWYCH

2.11 MODUŁY WEJŚĆ ANALOGOWYCH 2.11 MODUŁY WEJŚĆ ANALOGOWYCH HEADC1 2 wejścia analogowe napięciowe ( 5 VDC, ±5 VDC, 1 VDC, ±1 VDC), roz dzielczość 12 bitów HEADC2 2 wejścia analogowe prądowe ( 2 ma, ±2 ma), rozdzielczość 12 bitów HERTD1

Bardziej szczegółowo

Rys. 1. Sposób podłączenia przetworników z płytką Nexys 4.

Rys. 1. Sposób podłączenia przetworników z płytką Nexys 4. Przetworniki Analogowo-Cyfrowe i Cyfrowo-Analogowe Laboratorium Techniki Cyfrowej Ernest Jamro, Piotr Rzeszut, Katedra Elektroniki, AGH, Kraków, 2015-01-10 1. Przetworniki z drabinką R-2R i sterowanie

Bardziej szczegółowo

Enkoder magnetyczny AS5040.

Enkoder magnetyczny AS5040. Enkoder magnetyczny AS5040. Edgar Ostrowski Jan Kędzierski www.konar.ict.pwr.wroc.pl Wrocław, 28.01.2007 1 Spis treści 1 Wstęp... 3 2 Opis wyjść... 4 3 Tryby pracy... 4 3.1 Tryb wyjść kwadraturowych...

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 5 Przetwornik A/C i układ PWM - współpraca Mariusz Sokołowski http://www.fpga.agh.edu.pl/upt2

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

KOMPUTEROWE SYSTEMY POMIAROWE

KOMPUTEROWE SYSTEMY POMIAROWE KOMPUTEROWE SYSTEMY POMIAROWE Dr inż. Eligiusz PAWŁOWSKI Politechnika Lubelska Wydział Elektrotechniki i Informatyki Prezentacja do wykładu dla EMST - ITE Semestr zimowy Wykład nr 7 Prawo autorskie Niniejsze

Bardziej szczegółowo

Programowanie w językach asemblera i C

Programowanie w językach asemblera i C Programowanie w językach asemblera i C Mariusz NOWAK Programowanie w językach asemblera i C (1) 1 Dodawanie dwóch liczb - program Napisać program, który zsumuje dwie liczby. Wynik dodawania należy wysłać

Bardziej szczegółowo

KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA

KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA Mikrokontrolery AVR KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA Wyprowadzenia Każdy z mikrokontrolerów posiada pewną liczbę wyprowadzeń cyfrowych które służą do wprowadzania i odbierania informacji z mikrokontrolera.

Bardziej szczegółowo

Mikrokontrolery z rdzeniem ARM, część 21

Mikrokontrolery z rdzeniem ARM, część 21 Mikrokontrolery z rdzeniem ARM, część 21 Przetwarzanie A/C i C/A K U R S Przetwornik analogowo cyfrowy Mikrokontrolery LPC2000, nie wyróżniają się niczym szczególnym, jeżeli chodzi o przetworniki A/C i

Bardziej szczegółowo

Generator przebiegów pomiarowych Ex-GPP2

Generator przebiegów pomiarowych Ex-GPP2 Generator przebiegów pomiarowych Ex-GPP2 Przeznaczenie Generator przebiegów pomiarowych GPP2 jest programowalnym sześciokanałowym generatorem napięć i prądów, przeznaczonym do celów pomiarowych i diagnostycznych.

Bardziej szczegółowo

PROGRAM TESTOWY LCWIN.EXE OPIS DZIAŁANIA I INSTRUKCJA UŻYTKOWNIKA

PROGRAM TESTOWY LCWIN.EXE OPIS DZIAŁANIA I INSTRUKCJA UŻYTKOWNIKA EGMONT INSTRUMENTS PROGRAM TESTOWY LCWIN.EXE OPIS DZIAŁANIA I INSTRUKCJA UŻYTKOWNIKA EGMONT INSTRUMENTS tel. (0-22) 823-30-17, 668-69-75 02-304 Warszawa, Aleje Jerozolimskie 141/90 fax (0-22) 659-26-11

Bardziej szczegółowo