Projektowanie scalonych systemów wbudowanych VERILOG. VERLIOG - historia

Podobne dokumenty
Projektowanie Scalonych Systemów Wbudowanych VERILOG

Projektowanie Scalonych Systemów Wbudowanych VERILOG

Programowalne układy logiczne kod kursu: ETD Podstawy języka Verilog W

Projektowanie Scalonych Systemów Wbudowanych VERILOG

Język HDL - VERILOG. (Syntetyzowalna warstwa języka) Hardware Description Language Krzysztof Jasiński PRUS PRUS

Wykład 2. Języki Opisu Sprzętu. Prowadzący: dr inż. Andrzej Skoczeń Współrzędne: D , tel. w ,

Język Verilog w projektowaniu układów FPGA

Systemy wbudowane. Projektowanie systemów wbudowanych na bazie układów CPLD/FPGA Język opisu sprzętu Verilog cz.1

Konwencje językowe Verilog-a APSC

1 Wstęp. 2 Proste przykłady. 3 Podstawowe elementy leksykalne i typy danych. 6 Opis strukturalny. 7 Moduł testowy (testbench)

Laboratorium Podstaw Techniki Cyfrowej

Sposoby projektowania systemów w cyfrowych

Przykładowe pytania z części PSPICE. 1. Podaj zasady tworzenia pliku symulacyjnego. 2. Czy składnia PSPICE jest czuła na wielkość liter? 3.

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

AHDL - Język opisu projektu. Podstawowe struktury języka. Komentarz rozpoczyna znak i kończy znak %. SUBDESIGN

Język HDL - VERILOG. (Syntetyzowalna warstwa języka) Hardware Description Language Krzysztof Jasiński PRUS PRUS

Synteza logiczna APSC

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Verilog HDL. część I i II

Modelowanie złożonych układów cyfrowych (1)

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Verilog HDL. Język Opisu Sprzętu Hardware Description Language Część I. Elementy języka. dr inż. Paweł Tomaszewicz H D L

Język opisu sprzętu VHDL

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

OPTYMALIZACJA MODELI SYMULACYJNYCH ZAMODELOWANYCH W JĘZYKU VERILOG HDL Z WYKORZYSTANIEM INTERFEJSU PLI

Rok akademicki: 2013/2014 Kod: JIS s Punkty ECTS: 5. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Opisy efektów kształcenia dla modułu

Krótkie wprowadzenie do ModelSim i Quartus2

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Zadania do wykładu 1, Zapisz liczby binarne w kodzie dziesiętnym: ( ) 2 =( ) 10, ( ) 2 =( ) 10, (101001, 10110) 2 =( ) 10

LABORATORIUM PODSTAW ELEKTRONIKI. Komputerowa symulacja układów różniczkujących

Układy reprogramowalne i SoC Implementacja w układach FPGA

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

Architektura komputerów Wykład 2

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Wykład 3. Języki Opisu Sprzętu. Prowadzący: dr inż. Andrzej Skoczeń Współrzędne: D , tel. w ,

Opisy efektów kształcenia dla modułu

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Programowalne układy logiczne kod kursu: ETD Układy kombinacyjne, przypisania, blokujące i nieblokujące cz.2 W

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

Różnicowe układy cyfrowe CMOS

Katedra Mikroelektroniki i Technik Informatycznych

Technika cyfrowa Synteza układów kombinacyjnych

Ćw. 8 Bramki logiczne

Sumatory H D L. dr inŝ. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska

Podstawy techniki cyfrowej cz.2 zima Rafał Walkowiak

Projektowanie układów na schemacie

Języki opisu sprzętu VHDL Mariusz Rawski

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Układy kryptograficzne z uŝyciem rejestrów LFSR

Podstawy Informatyki Elementarne podzespoły komputera

Wykład 4. Języki Opisu Sprzętu

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

ĆWICZENIE 4 Zapoznanie ze środowiskiem CUPL Realizacja układów kombinacyjnych na układach PLD

Programowalne układy logiczne

Automatyka Treść wykładów: Literatura. Wstęp. Sygnał analogowy a cyfrowy. Bieżące wiadomości:

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Układy cyfrowe w technologii CMOS

Podstawy elektroniki cz. 2 Wykład 2

Wykład 4. Języki Opisu Sprzętu. Prowadzący: dr inż. Andrzej Skoczeń Współrzędne: D , tel. w ,

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Cyfrowe układy scalone c.d. funkcje

Elektronika i techniki mikroprocesorowe

Szkolenia specjalistyczne

Podstawy techniki cyfrowej cz.2 wykład 3 i 5

Kurs Verilog cz.1 wstęp

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Język AHDL. Synteza strukturalna. dr inŝ. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska H D L

Sterowniki Programowalne (SP)

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA

LEKCJA. TEMAT: Funktory logiczne.

Temat: Pamięci. Programowalne struktury logiczne.

Table of Contents. Table of Contents UniTrain-I Kursy UniTrain Kursy UniTrain: Technika cyfrowa. Lucas Nülle GmbH 1/7

Układy kombinacyjne 1

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Logiczne układy bistabilne przerzutniki.

Technika cyfrowa Synteza układów kombinacyjnych (I)

Symulacja układów elektronicznych z użyciem oprogramowania SPICE zajęcia warsztatowe SKN CHIP. Przygotował Bogdan Pankiewicz, maj 2017

Projektowanie Urządzeń Cyfrowych

Systemy na Chipie. Robert Czerwiński

Wykorzystanie standardów serii ISO oraz OGC dla potrzeb budowy infrastruktury danych przestrzennych

bocznej Tabela stanów sterownika Światła na drodze:

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

Asynchroniczne statyczne układy sekwencyjne

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM z przedmiotu ALGORYTMY I PROJEKTOWANIE UKŁADÓW VLSI

Pojedyncze wartości zadeklarowanego typu Ustawiane przed rozpoczęciem symulacji bez moŝliwości

Wykład nr 3 Techniki Mikroprocesorowe. dr inż. Artur Cichowski

LABORATORIUM. Technika Cyfrowa. Badanie Bramek Logicznych

Programowalne układy logiczne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

z ćwiczenia nr Temat ćwiczenia: BADANIE UKŁADÓW FUNKCJI LOGICZNYCH (SYMULACJA)

Elektronika i techniki mikroprocesorowe

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

Język VERILOG w praktyce

Spis treści. Przedmowa Wykaz oznaczeń Wstęp Układy kombinacyjne... 18

Podstawy techniki cyfrowej

Transkrypt:

Projektowanie scalonych systemów wbudowanych VERILOG VERLIOG - historia Początki lat 80 XX w. Phil Moorby Gateway Design Automation symulator Verilog XL 1987 Synopsys Verilog jako język specyfikacji projektu dla narzędzi syntezy (standaryzacja VHLD a przez IEEE) 1989 Cadence (wykupił Gatewey) odzielenie języka opisu sprzętu od symulatora Verilog XL 1990 Cadence upublicznienie języka OVI (Open Verilog International) wymiana doświadczeń, kontrola specyfikacji i promowanie języka 1993 OVI nowa specyfikacja języka EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 2 1

VERLIOG - historia 1995 IEEE standaryzacja języka : IEEE 1364-1995 2000 Accelera konsorcjum firm: projektantów systemów cyfrowych i dostawców oprogramowania EDA 2001 nowy standard IEEE 1364-2001 (System Verilog 3.0 rozszerzenie standardu IEEE 1364-3001) 2004 Accelera dalsze rozszerzenia i połączenie poprzednich opracowań w jeden dokument 2005 IEEE nowe standardy: Verilog-2005 (IEEE 1364-2005) i System Verilog-2005 (IEEE 1800-2005) Od 2007 prace nad połączeniem obu standardów EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 3 VERLIOG - historia 2009 IEEE najnowszy standard: SystemVerilog IEEE 1800-2009 This standard represents a merger of two previous standards: IEEE Std 1364(TM)-2005 Verilog hardware description language (HDL) and IEEE Std 1800-2005 SystemVerilog unified hardware design, specification, and verification language. EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 4 2

VERILOG wady (?) Dr inż. Andrzej Skoczeń EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 5 Literatura Z. Hajduk Wprowadzenie do języka VERILOG, BTC, 2009 A. Golda, A. Kos Projektowanie układów scalonych CMOS, WKŁ, 2010 i inne EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 6 3

TERMINOLOGIA (1) model (inaczej: system, projekt) (ang. model, system, design) opis projektowanego układu cyfrowego za pomocą pliku źródłowego moduł (ang. module) podstawowa jednostka projektowa (element logiczny) wykorzystywana w modelu (projekcie) port (terminal) (ang. port, terminal) interfejs modułu służący do łączenia z innymi modułami moduł nadrzędny najwyższy w hierarchii moduł EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 7 TERMINOLOGIA (2) Moduł nie jest konkretnym elementem w układzie, lecz opisuje jego zachowanie. Jest definicją typu elementu. Dopiero instancja (urealnienie) (ang. instance) jest konkretnym użyciem wcześniej zdefiniowanego komponentu przez nadanie nazwy (identyfikatora) określonemu elementowi. Jest powołaniem do istnienia konkretnego elementu Moduł nadrzędny nie ma urealnienia. EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 8 4

OPIS UKŁADU Behawioralny opis działania układu, czyli jak układ lub jego części oddziaływają z otoczeniem, opis związków między wejściami i wyjściami układu (http://sjp.pwn.pl: behawior [wym. bihewior, behawior] psych. «każda dająca się zaobserwować reakcja zwierzęcia lub człowieka na bodźce płynące z otoczenia») Strukturalny opis struktury (budowy) układu, czyli połączeń między jego elementami netlista Fizyczny opis struktury tranzystorów układu scalonego maski logiczne i technologiczne (zbiór prostokątów lub wielokątów odpowiednich warstw układu scalonego) EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 9 OPIS STRUKTURALNY prosty układ definicja modułu sumatora EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 10 5

OPIS STRUKTURALNY ogólnie // przykład definiowania modułu module nazwa(lista_portów); input port_we; output port_wy; // opis układu (modułu) endmodule wszystkie porty modułu muszą mieć określony (zdefiniowany) kierunek definicja kierunku może być w oddzielnych liniach lub w pierwszej razem z nazwą EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 11 OPIS STRUKTURALNY typy danych dane połączeniowe (ang. net) służą do połączeń między elementami, przekazują wartości sygnałów: wire zwykłe połączenie inne: wand, wor, tri, triand, tri1, tri0, trireg, supply1, supply0 dane rejestrowe (ang. register) przechowują wartość i reprezentują zmienne reg pozwala wyspecyfikować rejestr inne: integer, time, real, realtime EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 12 6

OPIS STRUKTURALNY podstawowe elementy logiczne (ang. primitives) W jezyku Verilog jest 26 elementów: bramki logiczne: and, nand, or, nor, xor, xnor bufory: buf, bufif0, bufif1, not, notif0, notif1, pulldown, pullup tranzystory: nmos, pmos, cmos, rnmos, rpmos, rcmos, tran, tranif0, tranif1, rtran, rtranif0, rtranif1 EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 13 przerzutnik JK z resetem przykład EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 14 7

przykład przerzutnik JK: opis strukturalny EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 15 przykład przerzutnik JK: moduł testowy Moduł JK_FF_tb jest najwyżej w hierarchii i nie musi być urealniany Powołanie instancji JK1 to ona będzie symulowana Blok proceduralny initial w którym instrukcje są wykonywane sekwencyjnie (od słów begin do end) Opóźnienie i przypisanie wartości zmiennym: # n zmienna = liczba n liczba umownych jednostek czasowych tzw.: zadanie systemowe wymuszające koniec symulacji EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 16 8

przykład przerzutnik JK: bramki EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 17 przykład 2 sumator 2-bitowy Jaki jest typ zmiennej CY0? Przypisanie portów przez wylistowanie w odpowiedniej kolejności (notacja pozycyjna): Bramaki są zdef.: (wyj., wej.1, wej.2, ) Przypisanie portów przez nazwy EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 18 9

przykład 2 sumator 2-bitowy Notacja pozycyjna w powoływaniu instancji EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 19 przykład 2 8-bitowy bufor trójstanowy Opis z tablicą instancji EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 20 10

Przypisania ciągłe (współbieżne) assign Operatory logiczne: - or, & - and, ^ - exor EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 21 Przypisanie niejawne EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 22 11

EiT 2013/14 Projektowanie scalonych systemów wbudowanych - VERILOG 23 12