Quartus. Rafał Walkowiak IIn PP Wer

Podobne dokumenty
Quartus. Rafał Walkowiak IIn PP Listopad 2017

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Krótkie wprowadzenie do ModelSim i Quartus2

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

MMfpga01. MMfpga11. Instrukcja konfiguracji środowiska, przykładowy projekt oraz programowanie układu

Projekt prostego procesora

Bezpieczeństwo informacji oparte o kryptografię kwantową

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

Układy reprogramowalne i SoC Implementacja w układach FPGA

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projektowanie z użyciem procesora programowego Nios II

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

MentorGraphics ModelSim

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

Scalone układy programowalne FPGA.

Systemy Czasu Rzeczywistego FPGA

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

Projektowanie Urządzeń Cyfrowych

Pierwsze kroki z FPGA (2)

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

Język opisu sprzętu VHDL

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

ZL19PRG. Programator USB dla układów PLD firmy Altera

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Wygląd okna aplikacji Project Navigator.

Projektowanie automatów z użyciem VHDL

TWORZENIE OD PODSTAW PROJEKTU W ŚRODOWISKU QUARTUS PRIME

Sposoby projektowania systemów w cyfrowych

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C

Bezpieczeństwo informacji oparte o kryptografię kwantową

Systemy Czasu Rzeczywistego FPGA

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Specyfika projektowania Mariusz Rawski

1. Uruchomić Aldec Actve-HDL 9.2 (skrót na pulpicie). Program uruchamia się dosyć długo cierpliwości.

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Przykład realizacji ćwiczenia nr 8.

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

1. Podstawowe wiadomości Możliwości sprzętowe Połączenia elektryczne Elementy funkcjonalne programów...

Projektowania Układów Elektronicznych CAD Laboratorium

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

SPIS TREŚCI. 2. Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania

1.Wstęp. 2.Generowanie systemu w EDK

INSTRUKCJA UŻYTKOWANIA

Realizacja algorytmu wyznaczania wyrazów ciągu w języku VHDL z zastosowaniem podziału projektu na moduły: FSM i Data Path.

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

2. Architektura mikrokontrolerów PIC16F8x... 13

i pakietu programowego PALASM 4

Projektowanie hierarchiczne Mariusz Rawski

Tomasz Greszata - Koszalin

Konfiguracja pakietu CrossStudio for MSP

Układy reprogramowalne i SoC Język VHDL (część 4)

Języki opisu sprzętu VHDL Mariusz Rawski

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Ukªady Kombinacyjne - cz ± I

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

Materiały dodatkowe. Simulink Real-Time

PROGRAMOWALNE STEROWNIKI LOGICZNE

Aplikacja do podpisu cyfrowego npodpis

1 Moduł Lutron HomeWorks QS

PROJEKTOWANIE UKŁADÓW CYFROWYCH Z WYKORZYSTANIEM PAKIETU MULTISIM 2001 ORAZ JĘZYKA OPISU SPRZĘTU VHDL

FAQ: /PL Data: 16/11/2007 Programowanie przez Internet: Konfiguracja modułów SCALANCE S 612 V2 do komunikacji z komputerem przez VPN

SPIS TREŚCI. 3.3 Pasek narzędziowy

Windows 10 - Jak uruchomić system w trybie

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

INSTRUKCJA UŻYTKOWNIKA MPCC

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Projektowanie Systemów Wbudowanych

Technika Mikroprocesorowa

Systemy Czasu Rzeczywistego FPGA

Instrukcja obsługi programu DS150E. Dangerfield March. 2009V3.0 Delphi PSS

Uzyskanie dostępu oraz instalacja oprogramowania STATISTICA dla pracowników oraz studentów Uniwersytetu Ekonomicznego w Poznaniu

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja:

XC4000: LUT jako ROM Układy Cyfrowe i Systemy Wbudowane 2 Układy FPGA cz. 2 ROM32X1 VHDL inference example ROM 16x2b type

Programowanie procesora Microblaze w środowisku SDK

Laboratorium - Instalacja Virtual PC

Inżynieria Materiałowa i Konstrukcja Urządzeń - Projekt

Modelowanie logiki rewersyjnej w języku VHDL

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych.

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

Autorzy. Zespół SABUR Sp. Z o.o. Wydanie Data. Sierpień SABUR Sp. Z o. o. Wszelkie prawa zastrzeżone

Cyfrowe Przetwarzanie Obrazów i Sygnałów

Transkrypt:

Quartus Rafał Walkowiak IIn PP Wer 1.1 10.2013

Altera Quartus Narzędzie projektowe dla FPGA I CPLD Umożliwia: wprowadzenie projektu, syntezę logiczną i symulację funkcjonalną, przydział do układów logicznych i ich łącznie, symulację czasową, analizę czasową, zarządzanie użyciem mocy i programowanie układu FPGA VHDL, DE2, Quartus 2

Altera Quartus Używamy QUARTUS wersji 11.0 gdyż współpracuje z symulatorem Qsim z Altera University Program Możliwość darmowego pobrania programów (cele edukacyjne) ze strony altera.com: 11.0_quartus_free_windows.exe 2,70 GB altera_upds_setup.exe 31,3 MB symulator udaje się zainstalować w Windows XP (czerwiec 2011) VHDL, DE2, Quartus 3

Quartus interfejs użytkownika Okno główne: pliki, raporty, inne okna Projekt nawigator: hierarchia, pliki projektu, skróty komend Okno statusu: status przetwarzania zadań Okno komunikatów: informacje, błędy, ostrzeżenia Dynamicznie zmieniające się menu VHDL, DE2, Quartus 4

Quartus okno główne VHDL, DE2, Quartus 5

Projekt w Quartus Praca zorganizowana w ramach projektów. Projekt składa się z: plików projektowych i bibliotek, informacji o parametrach i ograniczeniach, hierarchii projektu i informacjach o wydaniach. VHDL, DE2, Quartus 6

Etapy procesu projektowania w PLD 1. Wprowadzanie projektu Schemat edytor blokowy Język definiowania sprzętu HDL Formaty reprezentacji projektu w systemach EDA 2. Symulacja na poziomie przesłań międzyrejestrowych RTL (czy projekt poprawny logicznie?) weryfikacja logiczna modelu bez opóźnień 3. Synteza (przydział elementów logicznych modelu do standardowych elementów) minimalizacja modelu logicznego optymalizacja modelu logicznego przydział elementów logicznych do podstawowych elementów składowych układu cyfrowego VHDL, DE2, Quartus 7

Etapy procesu projektowania dla PLD 4. Umieszczanie i łączenie (moduły ang. fitter router) przydział elementów logicznych do jednostek logicznych sprzętu uwzględnienie wprowadzonych ograniczeń na realizację projektu realizacja połączeń 5. Analiza czasowa (czy spełnione są ograniczenia czasowe?): 1. Badanie, optymalizacja i prezentacja efektywności czasowej 2. sprawdzanie i informowanie o przekroczeniach ograniczeń czasowych 6. Symulacja czasowa: Sprawdza poprawność czasową logiki Korzysta z listy połączeń uwzględniających czas Wymaga wektorów wymuszeń na wejściach układu 7. Programowanie i badanie sprzętu VHDL, DE2, Quartus 8

Podstawowe działania (1) TWORZENIE NOWEGO PROJEKTU File> New Project katalog, nazwa projektu, nazwa elementu najwyższego poziomu w hierarchii projektu dołączenie plików projektu (np. plików komponentów biblioteki) określenie układu docelowego - EP2C35F672C6 dla DE2, EP2C70F896C6 dla DE2-70 VHDL, DE2, Quartus 9

Tworzenie nowego projektu za pomocą kreatora (katalog, nazwa, jednostka główna) VHDL, DE2, Quartus 10

Tworzenie nowego projektu za pomocą kreatora projektu UWAGA: DLA DE2 EP2C35F672C6, DLA DE2-70 EP2C70F896C6 VHDL, DE2, Quartus 11

Tworzenie nowego projektu za pomocą kreatora pliki schematu blokowego VHDL, DE2, Quartus 12

Schemat blokowy umieszczanie podstawowych elementów logicznych 1 VHDL, DE2, Quartus 13

Schemat blokowy umieszczanie podstawowych elementów logicznych 2 VHDL, DE2, Quartus 14

Schemat blokowy zapis pliku w ramach projektu VHDL, DE2, Quartus 15

Wprowadzenie powiązań nazwa portu pin układu FPGA VHDL, DE2, Quartus 16

Edycja powiązań dla portów schematu wykorzystanie Pin Planer Po kompilacji porty projektowanego układu pojawią się na liście węzłów w Pin Planer Dla łatwiejszego dopasowania portów do wyprowadzeń układu należy znaleźć grupy wyprowadzeń, do których przynależy wyprowadzenie, które chcemy wykorzystać. W naszym przypadku wybranie PIN_N26 (SW[1]) spowoduje możliwość sterowania przełącznikiem SW[1] wejścia układu SET Żródło: http://quartushelp.altera.com/9.1/m ergedprojects/assign/ase/ase_pro_as signing_pins.htm VHDL, DE2, Quartus 17

Przykład podłączenia wyprowadzenia logicznego układu do wyprowadzenia FPGA[1] Przykład: sygnał układu SET przyporządkowujemy do tego wyprowadzenia FPGA, do którego podłączony jest przełącznik SW[1] 1. Sprawdzamy, do której grupy należy to wyprowadzenie układu (pin), do którego przyłączony jest przełącznik SW[1] (informację program pobiera z DE2_pin_assignments.csv) jest to widoczne w oknie Pin Planer obok węzła SW[1] w kolumnie location grupa: I/O bank 5 VHDL, DE2, Quartus 18

Przykład podłączenia wyprowadzenia logicznego układu do wyprowadzenia FPGA [2] Wybieramy sygnał SET prawym klawiszem myszy, dalej z pojawiającego się menu wybieramy opcję find swappable pins, a następnie w pojawiającym się oknie (pole swap to ) określamy zakres przeszukiwanych wyprowadzeń w naszym przypadku: I/O bank 5 Program znajduje możliwe wyprowadzenia do podmiany - opcja Find pins VHDL, DE2, Quartus 19

Przykład podłączenia wyprowadzenia logicznego układu do wyprowadzenia FPGA [3] Po wybraniu wyprowadzeni a możliwa jest podmiana Swap Widoczny efekt VHDL, DE2, Quartus 20

Kompilacja projektu analiza wejścia, synteza i optymalizacja modelu logicznego, przydział do sprzętu, asemblacja, analiza czasowa VHDL, DE2, Quartus 21

Symulacja - Altera U.P. Simulator File>Open Project File>New simulation Input File Edit >Insert > Insert Node or Bus Node Finder VHDL, DE2, Quartus 22

Podstawowe działania - symulator Definiowanie wektora testowego: zbioru sygnałów, okresu symulacji i wymuszeń: Altera U.P. Simulator Przydatne opcje: Edit> End time View>Fit in window Edit>Insert Node or Bus Node View>Snap to grid Selection Tool ikona w kształcie strzałki Waveform editing Tool ikona ze zmieniającym się stanem magistrali Edit>Value - edycja stanu wymuszenia VHDL, DE2, Quartus 23

Symulacja - Altera U.P. Simulator Użyteczne opcje: Edit > Set End Time Edit > Grid Size Edit > Snap to Grid Edit > Snap to Transition Przygotowanie i kroki symulacji: Assign > Simulation Settings okreslenie pliku wektorów testowych oraz typu symulacji Processing > Generate Simulation Netlist Processing > Start Simulation VHDL, DE2, Quartus 24

Symulacja - Altera U.P. Simulator Wyniki symulacji widoczne w Simulation Waveform Editor Szersze informacje na temat symulacji i edycji pliku wektorów testowych w: Introduction to Simulation of VHDL Designs: Altera corporation University Program May 2011 VHDL, DE2, Quartus 25

Przykłady symulacji symulacja funkcjonalna logika dzialania VHDL, DE2, Quartus 26

Przykłady symulacji symulacja czasowa - realizacja w sprzęcie VHDL, DE2, Quartus 27

Przykłady symulacji symulacja czasowa (powiększenie) VHDL, DE2, Quartus 28

Podstawowe działania ALTERA Programowanie i konfiguracja FPGA Tryb: JTAG ładowanie danych konfiguracyjnych układu bezpośrednio do FPGA, pozostają do wyłączenia zasilania. Do programowania w tym trybie: przełącznik trybu programowania płyty DE2 w stan RUN Tools>Programmer Hardware Setup > USB-blaster Korzystamy z *.sof (SRAM object file) (Add file) Ustawienie przełącznika DE2 w stan RUN Program/Configure Start VHDL, DE2, Quartus 29

Programowanie FPGA Tools/Programmer ; Wykorzystujemy interfejs USB-Blaster VHDL, DE2, Quartus 30

Podstawowe działania VHDL(1) Nowy plik VHDL: File>New>VHDL okreslenie nazwy: File>Save As > Box Add file to current project Umieszczanie wzorców elementów w pliku VHDL: Edit>Insert Template>VHDL Dodawanie/usuwanie plików do/z projektu: Assignments> Settings> Files Project> Add/Remove Files in Project VHDL, DE2, Quartus 31

Podstawowe działania VHDL (2) Przydział sygnałów do wyprowadzeń układu: Assignments> Import Assignments Wybrać plik DE2_pin_assignments.csv oznaczenia sygnałów w projekcie zgodne z DE2 user manual lub powiązanie nazw portów z oznaczeniem wyprowadzeń Pin Planner (SLAJDY 52-56) Kompilacja: Processing>Start Compilation VHDL, DE2, Quartus 32

Polecane źródła informacji na temat DE2 i Quartus Prezentacje na temat podstaw korzystania z Quartus Quartus II Introduction Using VHDL Design Introduction to Simulation of VHDL Designs: Altera corporation University Program May 2011 Oprogramowanie dostępne w celach edukacyjnych na www.altera.com w sekcji Altera University Program Design Software Podręcznik użytkownika płyty DE2 DE2 Development and Education Board User Manual VHDL, DE2, Quartus 33/51

Sreg0 Przekształenie grafu stanów w opis automatu w VHDL CLK czas_p czas_c czas_z przycisk ps zs start_p start_z start_c start start='1' start_c<='1'; start_p<='1'; czas_z='1' cp zp cs S1 /00001/ S2 /00010/ S3 /00100/ S4 /01000/ S5 /10000/ zs<='1'; cs<='0'; ps<='0'; zp<='0'; cp<='1'; start_p<='1'; przycisk='1' start_p<='0'; zs<='0'; cs<='0'; ps<='1'; zp<='0'; czas_p='1' cp<='1'; czas_c='1' start_z<='1'; czas_p='1' Entity: przejscie Architecture: przejscie_arch start_p<='0'; zs<='0'; cs<='0'; ps<='1'; zp<='0'; cp<='1'; start_z<='0'; zs<='1'; cs<='0'; ps<='0'; zp<='0'; cp<='1'; start_c<='0'; zs<='0'; cs<='1'; ps<='0'; zp<='1'; cp<='0'; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity przejscie is port ( CLK: in STD_LOGIC; czas_c: in STD_LOGIC;... end przejscie; architecture przejscie_arch of przejscie is attribute enum_encoding: string; type Sreg0_type is ( S1, S2, S3, S4, S5 ); attribute enum_encoding of Sreg0_type: type is "00001 " & -- S1... signal Sreg0: Sreg0_type; begin Sreg0_machine: process (CLK) begin if CLK'event and CLK = '1' then if start='1' then... end process; -- signal assignment statements for combinatorial outputs zs_assignment: zs <= '1' when (Sreg0 = S1) else... VHDL, DE2, end Quartus przejscie_arch; 34

Przykładowe wyniki pracy: Symulacja pracy prostego procesora, zapis zawartości rejestru do pamięci adresowanej zawartością rejestru Implementacja VHDL i DE2 na laboratorium PTC, autor: student 3 roku informatyki PP 2010/2011 VHDL, DE2, Quartus 35