MentorGraphics ModelSim

Wielkość: px
Rozpocząć pokaz od strony:

Download "MentorGraphics ModelSim"

Transkrypt

1 MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu (standardowo modelsim.tcl). Zapis ustawień: linia poleceń: write preferences <nazwa_pliku> Aby ustawienia były widziane przy każdym uruchomieniu systemu należy ustawić zmienną systemową MODELSIM_TCL=<path>\modelsim.tcl <path> - ścieżka do pliku modelsim.tcl 2. Zarządzanie projektem Tworzenie nowego projektu: File -> New -> Project... W momencie utworzenia nowego projektu powstaje biblioteka robocza o nazwie nadanej w powyższym oknie (w tym przypadku work). Aby utworzyć nową bibliotekę lub zmienić mapowanie (alias) do istniejącej biblioteki należy skorzystać z poleceń opisanych w pkt.5 niniejszej instrukcji lub w [1]. [!] Polecenie: utwórz nowy projekt o nazwie <nr_indeksu> w katalogu CADHDL na dysku wskazanym przez prowadzącego (jeśli katalog nie istnieje utwórz go); pozostaw domyślna nazwę dla biblioteki roboczej; M.Kropidłowski v.2.0.b 1/8

2 Po utworzeniu przestrzeni projektu można dodać do niego istniejące modele opisane w języku HDL lub stworzyć nowe elementy projektowe. W tym celu można skorzystać z kreatora, który uruchamia się po utworzeniu projektu (okno poniżej) lub stworzyć nowe źródło poleceniem Project -> Add to Project -> New File... [!] Polecenie: utwórz nowe źródło projektowe o nazwie mux4x1 ; typ źródła VHDL ; pozostaw domyślną lokalizację pliku; Efekt działania każdego polecenia modyfikującego strukturę i stan projektu jest widoczny w oknie Workspace. Na etapie tworzenia projektu okno Workspace posiada dwie zakładki: Project dotyczącą plików źródłowych i ich statusu oraz Library zawierającą dane dotyczące bibliotek widzianych z poziomu projektu. W zakładce Library na obecnym etapie wykonywania projektu powinna być widoczna pusta biblioteka work. M.Kropidłowski v.2.0.b 2/8

3 Poza tworzeniem nowych modeli do projektu można dodawać istniejące pliki HDL w celu wielokrotnego wykorzystania raz zdefiniowanych jednostek projektowych. Istniejące pliki można dodawać poleceniem Project -> Add to Project -> Existing File... lub z poziomu menu kontekstowego okna Workspace. [!] Polecenie: pobierz z serwera kursu plik gates.vhd i zapisz go w dowolnym katalogu tymczasowym; dodaj ten plik do projektu z opcją Copy to project directory ; typ źródła VHDL ; (Jeśli plik został zapisany w katalogu projektu należy go dodać do projektu z opcją Reference from current location.) Dodany plik może zawierać jeden lub więcej modeli jednostek projektowych. Aby były dostępne w bibliotece roboczej plik należy skompilować przy pomocy polecenia Compile -> Compile Selected (menu lub prawy przycisk myszy). Efekt działania polecenia kompilacji widoczny jest w oknie transkrypcji: # Compile of gates.vhd was successful. M.Kropidłowski v.2.0.b 3/8

4 Informacje szczegółowe na temat działania polecenia (składnia, numery błędów, itp.) można uzyskać klikając dwukrotnie na komunikat okna transkrypcji. Zakreślona linia w oknie poniżej przedstawia składnię polecenia wywołanego komendą Compile -> Compile Selected. [!] Polecenie: uruchom kompilację pliku gates.vhd ; obserwuj wyniki kompilacji w oknie transkrypcji. Zwróć uwagę na składnię polecenia kompilacji (vcom). Po pomyślnej kompilacji w bibliotece roboczej widoczne są jednostki projektowe zdefiniowane w kompilowanym pliku VHDL. 3. Symulacja Drogą prowadzącą do weryfikacji działania modeli opisanych w językach opisu sprzętu jest ich symulacja. Model VHDL można symulować przy pomocy wymuszeń zdefiniowanych w tzw. testbenchu lub korzystając z polecenia force symulatora ModelSim. Symulator uruchamiany jest poleceniem z menu Simulate - > Start Simulation... M.Kropidłowski v.2.0.b 4/8

5 Wyniki symulacji mogą być obserwowane w postaci tekstowej (okno transkrypcji) lub graficznej (okno przebiegów czasowych). Aby obserwować przebiegi czasowe należy stworzyć graficzne okno debugowania poleceniem View -> Wave i dodać do niego interesujące nas przebiegi z okna Objects. Należy zaznaczyć żądane sygnały w oknie Objects a następnie uruchomić polecenie Add -> To Wave -> Selected items [!] Polecenie: uruchom symulację dla jednostki projektowej and2 z biblioteki work; wyświetl okno Wave i dodaj do okna wszystkie sygnały z okna Objects ; Do budowania wymuszeń w systemie ModelSim wykorzystywane zostanie polecenie force. Pozwala ono na zdefiniowanie prostych wymuszeń, jednak przy symulacji złożonych układów wydawanie poleceń poprzez interfejs graficzny jest zbyt czasochłonne wykorzystuje się w tym celu skrypty Tcl lub modele wymuszeń zdefiniowane w języku HDL. M.Kropidłowski v.2.0.b 5/8

6 W interfejsie graficznym symulatora istnieją dwa polecenia do definiowania wymuszeń: Wave -> Force Wave -> Clock [!] Polecenie: zbuduj wymuszenia dla obu wejść bramki and2 ; użyj komendy force dla zbudowania sekwencji: in1 -> 1 20ns, 0 20ns, 1 20ns, 0 20ns in2 -> 1 40ns, 0 40ns Uruchom symulację poleceniem Simulate -> Run -> Run 100 ns; obserwuj wyniki symulacji w oknie Wave ; M.Kropidłowski v.2.0.b 6/8

7 4. Polecenia w oknie transkrypcji W interfejs programu ModelSim wbudowany jest interpreter poleceń języka skryptowego Tcl. Ułatwia to automatyzację projektowania poprzez skrypty Tcl (makra mają standardowo rozszerzenie.do). Każdą operacje opisaną w punkcie poprzednim można zrealizować wydając polecenie w oknie transkrypcji. Tworzenie nowej biblioteki linia poleceń: vlib <nazwa biblioteki> domyślnie tworzony jest nowy katalog o nazwie biblioteki Mapowanie nazwy logicznej do katalogu biblioteki linia poleceń: vmap <nazwa logiczna> <nazwa biblioteki> podanie tylko nazwy logicznej wyświetla aktualne mapowanie Kompilacja zaznaczonego źródła: Compile -> Compile Selected linia poleceń: vcom work <nazwa biblioteki> 2002 explicit <nazwa pliku> domyślna nazwa biblioteki roboczej = work Załadowanie symulacji: Simulate -> Simulate... linia poleceń: vsim <nazwa biblioteki>.<nazwa entity>(<architektura>) Otwieranie okna sygnałów: View -> Objects linia poleceń: view objects / view signals Otwieranie okna diagramów czasowych: View -> Wave linia poleceń: view wave / view new wave Dodanie wybranego sygnału do okna wyników: Add -> Wave -> Selected Signals linia poleceń: add wave label <etykieta> <nazwa sygnału> Uruchomienie symulacji: Simulate -> Run -> Run-All linia poleceń: run all (wszystkie wydane komendy i komunikaty dotyczące wyników ich działania pojawiają się w oknie transkrypcji; szczegółowy opis komend w pozycji [1]) [!] Polecenie: korzystając tylko z linii poleceń wykonaj następujące operacje: - utwórz bibliotekę gates - skompiluj plik gates.vhd do biblioteki gates - dokonaj mapowania biblioteki gates na nazwę bramki 5. Automatyzacja symulacji W celu automatyzacji działania programu wykorzystywane są pliki skryptowe (makra).do Składają się one z poleceń programu ModelSim [1] i komend języka Tcl. przykład makra dla modelu test.vhd [dostępny na serwerze kursu]: # kompilacja modelu test vcom -work work explicit./test.vhd # załadowanie modelu do symulatora vsim work.test M.Kropidłowski v.2.0.b 7/8

8 # wyświetlenie okna sygnałów i wyników symulacji view wave title test view signals # dodanie wszystkich sygnałów do okna wyników add wave * # budowa wymuszeń force a 1 0, 0 {50 ns} -r 100 force b 1 0, 0 {100 ns} -r 200 force c 1 0, 0 {200 ns} -r 400 # uruchomienie symulacji run 800 ns [!] Polecenie: napisz plik makra wykonującego następujące operacje: - kompilacja źródła test.vhd - uruchomienie symulatora dla modelu test - wyświetlenie przebiegów czasowych - budowa wymuszeń i symulacja modelu [1] ModelSim SE Reference Manual, Software Version 6.4a, Mentor Graphics M.Kropidłowski v.2.0.b 8/8

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

BACKUP BAZ DANYCH FIREBIRD

BACKUP BAZ DANYCH FIREBIRD BACKUP BAZ DANYCH FIREBIRD SPIS TREŚCI Informacje ogólne... 2 Tworzenie projektu... 2 Krok 1: Informacje podstawowe... 2 Krok 2: Dane... 3 Backup bazy umieszczonej na serwerze... 3 Bezpośredni backup pliku

Bardziej szczegółowo

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza Projektowanie układów VLSI-ASIC za pomocą techniki komórek standardowych przy użyciu pakietu Cadence Programowanie,

Bardziej szczegółowo

Pobieranie edytora CodeLite

Pobieranie edytora CodeLite Pobieranie edytora CodeLite Wejdź na stronę http://codelite.org/ a następnie przejść do ekranu pobierania (bez wykonywania dobrowolnej wpłaty). Kliknij na zakładkę Download a następnie No thanks, just

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf Materiały poprawione Rozwiązanie zadania w NetBeans IDE 7.4: Jarosław Ksybek, Adam Miazio Celem ćwiczenia jest przygotowanie prostej aplikacji

Bardziej szczegółowo

Opisane poniżej czynności może wykonać administrator komputera lub administrator serwera SQL (tj. użytkownik sa).

Opisane poniżej czynności może wykonać administrator komputera lub administrator serwera SQL (tj. użytkownik sa). MOL Optivum Pierwsze uruchomienie programu MOL Optivum na komputerze pełniącym rolę serwera bazy danych Porada przeznaczona jest dla użytkowników, którzy zainstalowali program MOL Optivum i chcą go uruchomić

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

Pracownia internetowa w każdej szkole (edycja Jesień 2007)

Pracownia internetowa w każdej szkole (edycja Jesień 2007) Instrukcja numer D2/08_01 Pracownia internetowa w każdej szkole (edycja Jesień 2007) Opiekun pracowni internetowej cz. 2 (D2) Określanie właściwości stron WWW (domyślne pliki startowe, katalogi wirtualne,

Bardziej szczegółowo

Tworzenie oprogramowania

Tworzenie oprogramowania Tworzenie oprogramowania dr inż. Krzysztof Konopko e-mail: k.konopko@pb.edu.pl 1 Tworzenie oprogramowania dla systemów wbudowanych Program wykładu: Tworzenie aplikacji na systemie wbudowanym. Konfiguracja

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Instalowanie VHOPE i plików biblioteki VHOPE

Instalowanie VHOPE i plików biblioteki VHOPE Instalowanie VHOPE i plików biblioteki VHOPE Krok 1. Zainstaluj aplikację VHOPE Przed rozpoczęciem korzystania z materiałów prezentacyjnych znajdujących się na tym dysku USB należy zainstalować na komputerze

Bardziej szczegółowo

Makropolecenia w PowerPoint Spis treści

Makropolecenia w PowerPoint Spis treści Makropolecenia w PowerPoint Spis treści Co to jest makro?... 2 Tworzenie prostego makropolecenia w PowerPoint... 2 Przypisywanie makropoleceń do wstążki.... 5 Zapisywanie prezentacji z makrem.... 7 ZADANIE...

Bardziej szczegółowo

Pracownia internetowa w każdej szkole (edycja Jesień 2007)

Pracownia internetowa w każdej szkole (edycja Jesień 2007) Instrukcja numer D1/05_03/Z Pracownia internetowa w każdej szkole (edycja Jesień 2007) Opiekun pracowni internetowej cz. 1 Ręczne zakładanie kont użytkowników (D1) Jak ręcznie założyć konto w systemie

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 2 KOMPILACJA

Bardziej szczegółowo

WPROWADZENIE DO INFORMATYKI

WPROWADZENIE DO INFORMATYKI J.NAWROCKI, M. ANTCZAK, H. ĆWIEK, W. FROHMBERG, A. HOFFA, M. KIERZYNKA, S. WĄSIK WPROWADZENIE DO INFORMATYKI PROGRAMOWANIE IMPERATYWNE ŚRODOWISKO URUCHOMIENIOWE I. INSTALACJA I KONFIGURACJA ECLIPSE CDT

Bardziej szczegółowo

WPROWADZENIE DO ŚRODOWISKA SCICOS

WPROWADZENIE DO ŚRODOWISKA SCICOS Politechnika Gdańska Wydział Elektrotechniki i Automatyki WPROWADZENIE DO ŚRODOWISKA SCICOS Materiały pomocnicze do ćwiczeń laboratoryjnych Oryginał: Modeling and Simulation in Scilab/Scicos Stephen L.

Bardziej szczegółowo

Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP

Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP Korzystanie z edytora zasad grupy do zarządzania zasadami komputera lokalnego w systemie Windows XP W tym opracowaniu opisano, jak korzystać z edytora zasad grupy do zmiany ustawień zasad lokalnych dla

Bardziej szczegółowo

5.2. Pierwsze kroki z bazami danych

5.2. Pierwsze kroki z bazami danych 5.2. Pierwsze kroki z bazami danych Uruchamianie programu Podobnie jak inne programy, OO Base uruchamiamy z Menu Start, poprzez zakładkę Wszystkie programy, gdzie znajduje się folder OpenOffice.org 2.2,

Bardziej szczegółowo

Instrukcjaaktualizacji

Instrukcjaaktualizacji Instrukcja Instrukcjaaktualizacji aktualizacji oprogramowania oprogramowaniainpro InProBMS BMS SPIS TREŚCI 1. AKTUALIZACJA 3 1.1. ARCHIWIZACJA BAZY DANYCH...3 1.1.1. AUTOMATYCZNA...3 1.1.2. RĘCZNA...4

Bardziej szczegółowo

PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl

PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl PORADNIK KORZYSTANIA Z SERWERA FTP ftp.architekturaibiznes.com.pl Do połączenia z serwerem A&B w celu załadowania lub pobrania materiałów można wykorzystać dowolny program typu "klient FTP". Jeżeli nie

Bardziej szczegółowo

Diagnoza Szkolna Pearsona. Instrukcja obsługi

Diagnoza Szkolna Pearsona. Instrukcja obsługi Diagnoza Szkolna Pearsona Instrukcja obsługi 1. Logowanie Aby skorzystać z systemu Diagnoza Szkolna Pearsona należy najpierw wejść na stronę diagnoza.pearson.pl i wybrać przycisk Logowanie. Następnie należy

Bardziej szczegółowo

Konfiguracja i przygotowanie środowiska do współpracy z infrastrukturą PL-Grid

Konfiguracja i przygotowanie środowiska do współpracy z infrastrukturą PL-Grid geclipse dla PL-Grid Instalacja środowiska: 1. Upewnij się, że masz zainstalowane środowisko Java, 2. Rozpakuj archiwum geclipse-plgrid_.zip do wybranego przez siebie katalogu, np.: D:\PL-Grid

Bardziej szczegółowo

Płace VULCAN. 2. W polu nad drzewem danych ustaw rok, za który chcesz utworzyć deklaracje.

Płace VULCAN. 2. W polu nad drzewem danych ustaw rok, za który chcesz utworzyć deklaracje. Płace VULCAN Jak utworzyć deklaracje PIT, podpisać je certyfikatem i wysłać do systemu e-deklaracje? Warunkiem obligatoryjnym umożliwiającym elektroniczne przekazywanie dokumentacji do urzędów skarbowych

Bardziej szczegółowo

Pracownia internetowa w szkole ZASTOSOWANIA

Pracownia internetowa w szkole ZASTOSOWANIA NR ART/SBS/07/01 Pracownia internetowa w szkole ZASTOSOWANIA Artykuły - serwery SBS i ich wykorzystanie Instalacja i Konfiguracja oprogramowania MOL Optiva na szkolnym serwerze (SBS2000) Artykuł opisuje

Bardziej szczegółowo

Kadry Optivum, Płace Optivum

Kadry Optivum, Płace Optivum Kadry Optivum, Płace Optivum Jak seryjnie przygotować wykazy absencji pracowników? W celu przygotowania pism zawierających wykazy nieobecności pracowników skorzystamy z mechanizmu Nowe wydruki seryjne.

Bardziej szczegółowo

Synchronizator plików (SSC) - dokumentacja

Synchronizator plików (SSC) - dokumentacja SZARP http://www.szarp.org Synchronizator plików (SSC) - dokumentacja Wersja pliku: $Id: ssc.sgml 4420 2007-09-18 11:19:02Z schylek$ > 1. Witamy w programie SSC Synchronizator plików (SZARP Sync Client,

Bardziej szczegółowo

Spis treści. S t r o n a 2

Spis treści. S t r o n a 2 S t r o n a 2 Spis treści... 1 I. Okno aplikacji... 3 1. Lokalizacja... 5 2. Zapis trasy... 5 II. Panel narzędzi... 6 1. Warstwy... 6 2. Wyszukiwanie... 8 3. Pomiary... 10 3.1. Długość... 10 3.2. Obszar...

Bardziej szczegółowo

Programowanie Urządzeń Mobilnych. Laboratorium nr 7, 8

Programowanie Urządzeń Mobilnych. Laboratorium nr 7, 8 Programowanie Urządzeń Mobilnych Laboratorium nr 7, 8 Android Temat 1 tworzenie i uruchamianie aplikacji z użyciem Android SDK Krzysztof Bruniecki 1 Wstęp Platforma Android jest opartym na Linuxie systemem

Bardziej szczegółowo

Płace Optivum. 1. Zainstalować serwer SQL (Microsoft SQL Server 2008 R2) oraz program Płace Optivum.

Płace Optivum. 1. Zainstalować serwer SQL (Microsoft SQL Server 2008 R2) oraz program Płace Optivum. Płace Optivum Jak przenieść dane programu Płace Optivum na nowy komputer? Aby kontynuować pracę z programem Płace Optivum na nowym komputerze, należy na starym komputerze wykonać kopię zapasową bazy danych

Bardziej szczegółowo

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer?

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer? Kadry Optivum, Płace Optivum Jak przenieść dane na nowy komputer? Aby kontynuować pracę z programem Kadry Optivum lub Płace Optivum (lub z obydwoma programami pracującymi na wspólnej bazie danych) na nowym

Bardziej szczegółowo

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer?

Kadry Optivum, Płace Optivum. Jak przenieść dane na nowy komputer? Kadry Optivum, Płace Optivum Jak przenieść dane na nowy komputer? Aby kontynuować pracę z programem Kadry Optivum lub Płace Optivum (lub z obydwoma programami pracującymi na wspólnej bazie danych) na nowym

Bardziej szczegółowo

Konfiguracja serwera DNS w systemie Windows Server 2008 /2008 R2

Konfiguracja serwera DNS w systemie Windows Server 2008 /2008 R2 Konfiguracja serwera DNS w systemie Windows Server 2008 /2008 R2 Procedura konfiguracji serwera DNS w systemie Windows Server 2008/2008 R2, w sytuacji gdy serwer fizyczny nie jest kontrolerem domeny Active

Bardziej szczegółowo

Język JAVA podstawy. wykład 1, część 2. Jacek Rumiński. Politechnika Gdańska, Inżynieria Biomedyczna

Język JAVA podstawy. wykład 1, część 2. Jacek Rumiński. Politechnika Gdańska, Inżynieria Biomedyczna Język JAVA podstawy wykład 1, część 2 1 Język JAVA podstawy Plan wykładu: 1. Krótka historia Javy 2. Jak przygotować sobie środowisko programistyczne 3. Opis środowiska JDK 4. Tworzenie programu krok po

Bardziej szczegółowo

Instrukcja EQU Kantech

Instrukcja EQU Kantech Instrukcja EQU Kantech Pobranie konfiguracji Konfiguracje Kantecha do IFTER EQU pobieramy za pomocą opcji we właściwościach integracji Kantech wskazując lokalizacje katalogu..\data\kantech. Po wskazaniu

Bardziej szczegółowo

Utworzenie pliku. Dowiesz się:

Utworzenie pliku. Dowiesz się: Dowiesz się: 1. Jak rozpocząć pisanie programu 2. Jak wygląda szkielet programu, co to są biblioteki i funkcja main() 3. Jak wyświetlić ciąg znaków w programie 4. Jak uruchamiać (kompilować) napisany program

Bardziej szczegółowo

Zaawansowane aplikacje internetowe - laboratorium

Zaawansowane aplikacje internetowe - laboratorium Zaawansowane aplikacje internetowe - laboratorium Web Services (część 3). Do wykonania ćwiczeń potrzebne jest zintegrowane środowisko programistyczne Microsoft Visual Studio 2005. Ponadto wymagany jest

Bardziej szczegółowo

Informatyka I : Tworzenie projektu

Informatyka I : Tworzenie projektu Tworzenie nowego projektu w programie Microsoft Visual Studio 2013 Instrukcja opisuje w jaki sposób stworzyć projekt wykorzystujący bibliotekę winbgi2 w programie Microsoft Visual Studio 2013. 1. Otwórz

Bardziej szczegółowo

Wczytywanie cenników z poziomu programu Norma EXPERT... 2. Tworzenie własnych cenników w programie Norma EXPERT... 4

Wczytywanie cenników z poziomu programu Norma EXPERT... 2. Tworzenie własnych cenników w programie Norma EXPERT... 4 Spis treści Wczytywanie cenników z poziomu programu Norma EXPERT... 2 Tworzenie własnych cenników w programie Norma EXPERT... 4 Wczytywanie cenników z poziomu serwisu internetowego www.intercenbud.pl do

Bardziej szczegółowo

Papyrus. Papyrus. Katedra Cybernetyki i Robotyki Politechnika Wrocławska

Papyrus. Papyrus. Katedra Cybernetyki i Robotyki Politechnika Wrocławska Katedra Cybernetyki i Robotyki Politechnika Wrocławska Kurs: Zaawansowane metody programowania Copyright c 2014 Bogdan Kreczmer Niniejszy dokument zawiera materiały do wykładu dotyczącego programowania

Bardziej szczegółowo

Programowanie niskopoziomowe

Programowanie niskopoziomowe W. Complak, J.Kniat, M. Antczak, K. Kwarciak, G. Palik, A. Rybarczyk, Ł. Wielebski Materiały Programowanie niskopoziomowe http://www.cs.put.poznan.pl/arybarczyk/c_w_0.pdf Spis treści 1. Instalacja środowiska

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWNIKA Instalacja KS - EDE w systemie KS - ZSA ISO 9001:2008 Dokument: Wydanie: 1 Waga: 90

INSTRUKCJA UŻYTKOWNIKA Instalacja KS - EDE w systemie KS - ZSA ISO 9001:2008 Dokument: Wydanie: 1 Waga: 90 Instrukcja użytkownika Instalacja KS - EDE w systemie KS ZSA I. Wstęp W związku ze zmianami wynikającymi z wprowadzeniem Jednolitych Plików Kontrolnych w ramach systemu KS ZSA dodano możliwość korzystania

Bardziej szczegółowo

Użycie pakietów instalacyjnych.msi w oprogramowaniu WYWIAD Plus

Użycie pakietów instalacyjnych.msi w oprogramowaniu WYWIAD Plus 1. Wprowadzenie Użycie pakietów instalacyjnych.msi w oprogramowaniu WYWIAD Plus Program instalacyjny aplikacji WYWIAD Plus był uprzednio dostarczany wyłącznie w postaci pliku wykonywalnego.exe. Obecnie,

Bardziej szczegółowo

Architektury Usług Internetowych. Laboratorium 2. Usługi sieciowe

Architektury Usług Internetowych. Laboratorium 2. Usługi sieciowe Architektury Usług Internetowych Laboratorium 2. Usługi sieciowe Wstęp Celem laboratorium jest zapoznanie się z modelem usług sieciowych na przykładzie prostego serwera Apache Axis2. Apache Axis2 Apache

Bardziej szczegółowo

onfiguracja serwera DNS w systemie Windows Server 2008 /2008 R2

onfiguracja serwera DNS w systemie Windows Server 2008 /2008 R2 onfiguracja serwera DNS w systemie Windows Server 2008 /2008 R2 Poniższa procedura omawia konfigurację serwera DNS w systemie Windows Server 2008 / 2008 R2, w sytuacji gdy serwer fizyczny nie jest kontrolerem

Bardziej szczegółowo

DEMERO Automation Systems

DEMERO Automation Systems Programowanie wektorowych przetwornic częstotliwości serii POSIDRIVE FDS5000 / MDS5000 i serwonapędów POSIDRIVE MDS5000 / POSIDYN SDS5000 firmy Stober Antriebstechnik Konfiguracja parametrów w programie

Bardziej szczegółowo

Z pojedynczym obiekcie zasady grupy znajdziemy dwa główne typy ustawień:

Z pojedynczym obiekcie zasady grupy znajdziemy dwa główne typy ustawień: Zasady grupy (GPO) Windows Server 2008 R2 Zasady grupy to potężne narzędzie udostępnione administratorom systemów Windows w celu łatwiejszego zarządzania ustawieniami stacji roboczych. Wyobraźmy sobie

Bardziej szczegółowo

Finanse VULCAN. Jak wprowadzić fakturę sprzedaży?

Finanse VULCAN. Jak wprowadzić fakturę sprzedaży? Finanse VULCAN Jak wprowadzić fakturę sprzedaży? Wprowadzanie nowej faktury sprzedaży 1. Zaloguj się do Platformy VULCAN jako księgowy i uruchom aplikację Finanse VULCAN. 2. Na wstążce przejdź do widoku

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Pracownia internetowa w każdej szkole (edycja jesień 2005)

Pracownia internetowa w każdej szkole (edycja jesień 2005) Instrukcja numer SPD4/17_02/Z Pracownia internetowa w każdej szkole (edycja jesień 2005) Opiekun pracowni internetowej cz. 4 (SPD1.2) Założenie kont użytkowników i konfiguracja miejsca na ich indywidualne

Bardziej szczegółowo

Instrukcja obsługi programu Do-Exp

Instrukcja obsługi programu Do-Exp Instrukcja obsługi programu Do-Exp Autor: Wojciech Stark. Program został utworzony w ramach pracy dyplomowej na Wydziale Chemicznym Politechniki Warszawskiej. Instrukcja dotyczy programu Do-Exp w wersji

Bardziej szczegółowo

I. Spis treści I. Spis treści... 2 II. Kreator szablonów... 3 1. Tworzenie szablonu... 3 2. Menu... 4 a. Opis ikon... 5 3. Dodanie nowego elementu...

I. Spis treści I. Spis treści... 2 II. Kreator szablonów... 3 1. Tworzenie szablonu... 3 2. Menu... 4 a. Opis ikon... 5 3. Dodanie nowego elementu... Kreator szablonów I. Spis treści I. Spis treści... 2 II. Kreator szablonów... 3 1. Tworzenie szablonu... 3 2. Menu... 4 a. Opis ikon... 5 3. Dodanie nowego elementu... 7 a. Grafika... 7 b. Tekst... 7 c.

Bardziej szczegółowo

Załącznik 1 instrukcje instalacji

Załącznik 1 instrukcje instalacji Załącznik 1 instrukcje instalacji W poniższym załączniku przedstawione zostaną instrukcje instalacji programów wykorzystanych w trakcie tworzenia aplikacji. Poniższa lista przedstawia spis zamieszczonych

Bardziej szczegółowo

Instalacja i podstawowa konfiguracja aplikacji ImageManager

Instalacja i podstawowa konfiguracja aplikacji ImageManager Instalacja i podstawowa konfiguracja aplikacji ImageManager Wymagania systemowe dla aplikacji ImageManager przynajmniej 1 GB pamięci RAM (4 GB rekomendowane) przynajmniej 75 MB wolnego miejsca na dysku

Bardziej szczegółowo

Problemy techniczne SQL Server

Problemy techniczne SQL Server Problemy techniczne SQL Server Jak utworzyć i odtworzyć kopię zapasową bazy danych za pomocą narzędzi serwera SQL? Tworzenie i odtwarzanie kopii zapasowych baz danych programów Kadry Optivum, Płace Optivum,

Bardziej szczegółowo

Instalacja rozwiązania... 2. Uruchomienie rozwiązania w systemie Sage... 3. Konfiguracja dodatku... 4. Ustawienia dodatkowe rozwiązania...

Instalacja rozwiązania... 2. Uruchomienie rozwiązania w systemie Sage... 3. Konfiguracja dodatku... 4. Ustawienia dodatkowe rozwiązania... Rozwiązanie przygotowane do wymiany danych pomiędzy programem Sage Handel a serwisem www.allegro.pl za pośrednictwem oprogramowania Firmy PhotoSoft EasyUploader. Rozwiązanie pozwala na przesyłanie towarów

Bardziej szczegółowo

Pracownia internetowa w każdej szkole (edycja Jesień 2007)

Pracownia internetowa w każdej szkole (edycja Jesień 2007) Instrukcja numer D1/04_01/Z Pracownia internetowa w każdej szkole (edycja Jesień 2007) Opiekun pracowni internetowej cz. 1 (D1) Tworzenie kopii zapasowej ustawień systemowych serwera - Zadania do wykonania

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

Problemy techniczne SQL Server

Problemy techniczne SQL Server Problemy techniczne SQL Server Jak utworzyć i odtworzyć kopię zapasową za pomocą narzędzi serwera SQL? Tworzenie i odtwarzanie kopii zapasowych baz danych programów Kadry Optivum, Płace Optivum, MOL Optivum,

Bardziej szczegółowo

Wprowadzenie do biblioteki klas C++

Wprowadzenie do biblioteki klas C++ Instrukcja laboratoryjna nr 7 Programowanie w języku C 2 (C++ poziom zaawansowany) Wprowadzenie do biblioteki klas C++ WxWidgets mgr inż. Lasota Maciej dr inż. Kaczmarek Tomasz dr inż. Wilk-Jakubowski

Bardziej szczegółowo

D:\DYDAKTYKA\ZAI_BIS\_Ćwiczenia_wzorce\04\04_poprawiony.doc 2009-lis-23, 17:44

D:\DYDAKTYKA\ZAI_BIS\_Ćwiczenia_wzorce\04\04_poprawiony.doc 2009-lis-23, 17:44 Zaawansowane aplikacje internetowe EJB 1 Rozróżniamy dwa rodzaje beanów sesyjnych: Stateless Statefull Celem tego laboratorium jest zbadanie różnic funkcjonalnych tych dwóch rodzajów beanów. Poszczególne

Bardziej szczegółowo

CVS system kontroli wersji

CVS system kontroli wersji CVS system kontroli wersji Agenda Podstawowe pojęcia Podstawowe polecenia Metody dostępu do repozytorium Konfiguracja i używanie aplikacji klienckich Konflikty i ich rozwiązywanie Dodatkowe możliwości

Bardziej szczegółowo

INTENSE PLATFORM Zmiany w wersji Wersja 7.2

INTENSE PLATFORM Zmiany w wersji Wersja 7.2 0 Business Intelligence w przedsiębiorstwie INTENSE PLATFORM Zmiany w wersji Wersja 7.2 1 Spis treści... 0 Wstęp... 2 Nowości w wersji... 2 Obsługa dużych załączników (warunkowe wczytywanie)... 2 Nowy

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

Instrukcja pobrania i instalacji. certyfikatu Microsoft Code Signing. wersja 1.4

Instrukcja pobrania i instalacji. certyfikatu Microsoft Code Signing. wersja 1.4 Instrukcja pobrania i instalacji certyfikatu Microsoft Code Signing wersja 1.4 Spis treści 1. WSTĘP... 4 2. TWORZENIE CERTYFIKATU... 4 3. WERYFIKACJA... 9 3.1. WERYFIKACJA DOKUMENTÓW... 9 3.1.1. W przypadku

Bardziej szczegółowo

HELIOS pomoc społeczna

HELIOS pomoc społeczna Instrukcja przygotowania pliku wsadowego do zasilenia SEPI przy pomocy dodatkowej aplikacji HELSepi 1. Instalacja aplikacji Pobieramy plik instalacyjny HelSEPIsetup.exe ze strony internetowej www.ops.strefa.pl

Bardziej szczegółowo

Cover sheet. WinCC (TIA Portal) FAQ Listopad 2012

Cover sheet. WinCC (TIA Portal) FAQ Listopad 2012 Cover sheet W jaki sposób migrować projekt zintegrowany ze STEP 7 z WinCC flexible do WinCC (TIA Portal)? WinCC (TIA Portal) FAQ Listopad 2012 Service & Support Answers for industry. Pytanie Dokument ten

Bardziej szczegółowo

Zastępstwa Optivum. Jak przenieść dane na nowy komputer?

Zastępstwa Optivum. Jak przenieść dane na nowy komputer? Zastępstwa Optivum Jak przenieść dane na nowy komputer? Aby kontynuować pracę z programem Zastępstwa Optivum na innym komputerze, należy na starym komputerze wykonać kopię zapasową bazy danych programu

Bardziej szczegółowo

Ewidencja Wyposażenia PL+

Ewidencja Wyposażenia PL+ Ewidencja Wyposażenia PL+ Jak poprawnie skonfigurować uprawnienia systemowe Spis treści: 1. Wstęp 2. Systemy: Windows Vista,, 8, 8.1, 10... 2.1. Folder z bazą danych... 2.2. Folder z konfiguracją programu...

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów technologicznych

Automatyzacja i robotyzacja procesów technologicznych Automatyzacja i robotyzacja procesów technologicznych Obsługa grawerki Laser 500 i programu LaserCut 5.3 Dominik Rzepka, dominik.rzepka@agh.edu.pl Celem projektu jest wykonanie grawerunku na pleksi oraz

Bardziej szczegółowo

Zaawansowane aplikacje internetowe

Zaawansowane aplikacje internetowe Zaawansowane aplikacje internetowe EJB 1 Rozróżniamy dwa rodzaje beanów sesyjnych: Stateless Statefull Celem tego laboratorium jest zbadanie różnic funkcjonalnych tych dwóch rodzajów beanów. Poszczególne

Bardziej szczegółowo

Microsoft Visual SourceSafe uproszczona instrukcja użytkowania

Microsoft Visual SourceSafe uproszczona instrukcja użytkowania Politechnika Białostocka Wydział Informatyki mgr inż. Tomasz Łukaszuk Microsoft Visual SourceSafe uproszczona instrukcja użytkowania Wprowadzenie Microsoft Visual SourceSafe jest narzędziem pozwalającym

Bardziej szczegółowo

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem. WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM NetBeans Wykonał: Jacek Ventzke informatyka sem. VI 1. Uruchamiamy program NetBeans (tu wersja 6.8 ) 2. Tworzymy

Bardziej szczegółowo

Instalacja i opis podstawowych funkcji programu Dev-C++

Instalacja i opis podstawowych funkcji programu Dev-C++ Instalacja i opis podstawowych funkcji programu Dev-C++ Przed rozpoczęciem programowania musimy zainstalować i przygotować kompilator. Spośród wielu dostępnych kompilatorów polecam aplikację Dev-C++, ze

Bardziej szczegółowo

Załącznik 1 instrukcje instalacji

Załącznik 1 instrukcje instalacji Załącznik 1 instrukcje instalacji W poniższym załączniku przedstawione zostaną instrukcje instalacji programów wykorzystanych w trakcie tworzenia aplikacji. Poniższa lista przedstawia spis zamieszczonych

Bardziej szczegółowo

ZPKSoft Synchronizator

ZPKSoft Synchronizator ZPKSoft Synchronizator Program DSynch.exe służy do synchronizacji baz odległych systemu ZPKSoft Doradca. Program ma dwa oblicza. Podstawowy interfejs programu otrzymamy po bezpośrednim uruchomieniu programu.

Bardziej szczegółowo

Programowanie obiektowe zastosowanie języka Java SE

Programowanie obiektowe zastosowanie języka Java SE Programowanie obiektowe zastosowanie języka Java SE Wstęp do programowania obiektowego w Javie Autor: dr inŝ. 1 Java? Java język programowania obiektowo zorientowany wysokiego poziomu platforma Javy z

Bardziej szczegółowo

Cyfrowe Przetwarzanie Obrazów i Sygnałów

Cyfrowe Przetwarzanie Obrazów i Sygnałów Cyfrowe Przetwarzanie Obrazów i Sygnałów Laboratorium EX0 Wprowadzenie Joanna Ratajczak, Wrocław, 2018 1 Cel i zakres ćwiczenia Celem ćwiczenia jest zapoznanie się ze środowiskiem Matlab/Simulink wraz

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Pobieranie komunikatów GIF

Pobieranie komunikatów GIF Spis treści Wstęp... 2 1. Ustawienia harmonogramu zadań... 3 1.1. Tryby pracy AswPlan... 3 2. System KS-EWD... 4 2.1. Instalacja KS-EWD... 5 3. Inauguracja OSOZ... 6 3.1. Zdefiniowanie zadania pobierania

Bardziej szczegółowo

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie)

Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) Instrukcja instalacji i obsługi modemu ED77 pod systemem operacyjnym Windows 98 SE (wydanie drugie) UWAGA Podstawowym wymaganiem dla uruchomienia modemu ED77 jest komputer klasy PC z portem USB 1.1 Instalacja

Bardziej szczegółowo

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka

Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka Wprowadzenie do programowania w języku Visual Basic. Podstawowe instrukcje języka 1. Kompilacja aplikacji konsolowych w środowisku programistycznym Microsoft Visual Basic. Odszukaj w menu startowym systemu

Bardziej szczegółowo

Zespół Szkół Technicznych w Suwałkach. Pracownia Systemów Komputerowych. Ćwiczenie Nr 15 PRACA W TRYBIE MS-DOS. Opracował Sławomir Zieliński

Zespół Szkół Technicznych w Suwałkach. Pracownia Systemów Komputerowych. Ćwiczenie Nr 15 PRACA W TRYBIE MS-DOS. Opracował Sławomir Zieliński Zespół Szkół Technicznych w Suwałkach Pracownia Systemów Komputerowych Ćwiczenie Nr 15 PRACA W TRYBIE MS-DOS Opracował Sławomir Zieliński Suwałki 2013 Cel ćwiczenia Nabycie umiejętności używania poleceń

Bardziej szczegółowo

Instrukcja. importu dokumentów. z programu Fakt do programu Płatnik. oraz. przesyłania danych do ZUS. przy pomocy programu Płatnik

Instrukcja. importu dokumentów. z programu Fakt do programu Płatnik. oraz. przesyłania danych do ZUS. przy pomocy programu Płatnik Fakt Dystrybucja, Instrukcja z dnia 06.2010 Instrukcja importu dokumentów z programu Fakt do programu Płatnik oraz przesyłania danych do ZUS przy pomocy programu Płatnik 1/22 1 Eksport danych z Programu

Bardziej szczegółowo

Projektowania Układów Elektronicznych CAD Laboratorium

Projektowania Układów Elektronicznych CAD Laboratorium Projektowania Układów Elektronicznych CAD Laboratorium ĆWICZENIE NR 3 Temat: Symulacja układów cyfrowych. Ćwiczenie demonstruje podstawowe zasady analizy układów cyfrowych przy wykorzystaniu programu PSpice.

Bardziej szczegółowo

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami

Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Konfiguracja oprogramowania w systemach MS Windows dla kont z ograniczonymi uprawnieniami Dotyczy programów opartych na bazie BDE: Menedżer Pojazdów PL+ Ewidencja Wyposażenia PL+ Spis treści: 1. Wstęp...

Bardziej szczegółowo

SERWER AKTUALIZACJI UpServ

SERWER AKTUALIZACJI UpServ upserv_pl 02/14 SERWER AKTUALIZACJI UpServ SATEL sp. z o.o. ul. Schuberta 79 80-172 Gdańsk POLSKA tel. 58 320 94 00 serwis 58 320 94 30 dz. techn. 58 320 94 20; 604 166 075 info@satel.pl www.satel.pl SATEL

Bardziej szczegółowo

Laboratorium A: Korzystanie z raportów zasad grupy/klucz do odpowiedzi

Laboratorium A: Korzystanie z raportów zasad grupy/klucz do odpowiedzi Laboratorium A: Korzystanie z raportów zasad grupy/klucz do odpowiedzi Ćwiczenie 1 Tworzenie obiektu GPO dla standardowych komputerów osobistych W tym ćwiczeniu utworzysz obiekt GPO.! Utworzenie obiektu

Bardziej szczegółowo

Instrukcja instalacji środowiska testowego na TestingCup wersja 1.0

Instrukcja instalacji środowiska testowego na TestingCup wersja 1.0 Instrukcja instalacji środowiska testowego na TestingCup 2017 wersja 1.0 Spis treści: 1. Wstęp Błąd! Nie zdefiniowano zakładki. 2. Konfiguracja sprzętowa 2 3. Instalacja bazy danych MySQL 5.7 2 4. Import

Bardziej szczegółowo

Sekretariat Optivum. Jak przygotować listę uczniów zawierającą tylko wybrane dane, np. adresy e-mail ucznia i jego opiekunów? Projektowanie listy

Sekretariat Optivum. Jak przygotować listę uczniów zawierającą tylko wybrane dane, np. adresy e-mail ucznia i jego opiekunów? Projektowanie listy Sekretariat Optivum Jak przygotować listę uczniów zawierającą tylko wybrane dane, np. adresy e-mail ucznia i jego opiekunów? Program Sekretariat Optivum ma wbudowane różne edytory, które umożliwiają przygotowywanie

Bardziej szczegółowo

Zadanie 2. Tworzenie i zarządzanie niestandardową konsolą MMC

Zadanie 2. Tworzenie i zarządzanie niestandardową konsolą MMC Zadanie 2. Tworzenie i zarządzanie niestandardową konsolą MMC W tym zadaniu utworzymy niestandardową konsolę MMC. Będziemy dodawać, usuwać i zmieniać kolejność przystawek. Następnie przygotujemy konsolę

Bardziej szczegółowo

Instalacja aplikacji komunikacyjnej modułu pl.id

Instalacja aplikacji komunikacyjnej modułu pl.id Instalacja aplikacji komunikacyjnej modułu pl.id Spis treści 1. Wymagania... 3 2. Import certyfikatu osobistego... 3 3. Uzyskanie odcisku palca (Thumbprint) certyfikatu prywatnego... 7 4. Instalacja aplikacji

Bardziej szczegółowo

emszmal 3: Automatyczne księgowanie przelewów w programie EasyUploader (plugin dostępny w wersji ecommerce)

emszmal 3: Automatyczne księgowanie przelewów w programie EasyUploader (plugin dostępny w wersji ecommerce) emszmal 3: Automatyczne księgowanie przelewów w programie EasyUploader (plugin dostępny w wersji ecommerce) Zastosowanie Rozszerzenie to przeznaczone jest dla użytkowników programu EasyUploader stworzonego

Bardziej szczegółowo

Skrócony przewodnik OPROGRAMOWANIE PC. MultiCon Emulator

Skrócony przewodnik OPROGRAMOWANIE PC. MultiCon Emulator Wspomagamy procesy automatyzacji od 1986 r. Skrócony przewodnik OPROGRAMOWANIE PC MultiCon Emulator Wersja: od v.1.0.0 Do współpracy z rejestratorami serii MultiCon Przed rozpoczęciem użytkowania oprogramowania

Bardziej szczegółowo

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych.

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych. 1. Przygotowanie środowiska programistycznego. Zajęcia będą

Bardziej szczegółowo

Kostki OLAP i język MDX

Kostki OLAP i język MDX Kostki OLAP i język MDX 24 kwietnia 2015 r. Opis pliku z zadaniami Wszystkie zadania na zajęciach będą przekazywane w postaci plików PDF sformatowanych jak ten. Będą się na nie składały różne rodzaje zadań,

Bardziej szczegółowo